Sign in
foss-fpga-tools
/
prjxray-db
/
0b9d5dd89e6e129bf9d94515eac62b27b348102e
/
.
/
artix7
tree: ef5a1f4b9a11430c6389f0eb042bb3ea597e9111 [
path history
]
[
tgz
]
gridinfo/
harness/
element_counts.csv
mask_bram_l.block_ram.db
mask_bram_l.db
mask_bram_r.block_ram.db
mask_bram_r.db
mask_clbll_l.db
mask_clbll_r.db
mask_clblm_l.db
mask_clblm_r.db
mask_clk_bufg_bot_r.db
mask_clk_bufg_rebuf.db
mask_clk_bufg_top_r.db
mask_clk_hrow_bot_r.db
mask_clk_hrow_top_r.db
mask_dsp_l.db
mask_dsp_r.db
mask_hclk_cmt.db
mask_hclk_cmt_l.db
mask_hclk_l.db
mask_hclk_r.db
mask_liob33.db
mask_riob33.db
ppips_bram_int_interface_l.db
ppips_bram_int_interface_r.db
ppips_bram_l.db
ppips_bram_r.db
ppips_clbll_l.db
ppips_clbll_r.db
ppips_clblm_l.db
ppips_clblm_r.db
ppips_clk_bufg_bot_r.db
ppips_clk_bufg_top_r.db
ppips_clk_hrow_bot_r.db
ppips_clk_hrow_top_r.db
ppips_dsp_l.db
ppips_dsp_r.db
ppips_hclk_l.db
ppips_hclk_r.db
ppips_int_l.db
ppips_int_r.db
ppips_io_int_interface_l.db
ppips_io_int_interface_r.db
ppips_lioi3.db
ppips_lioi3_sing.db
ppips_lioi3_tbytesrc.db
ppips_rioi3.db
ppips_rioi3_sing.db
ppips_rioi3_tbytesrc.db
segbits_bram_l.block_ram.db
segbits_bram_l.db
segbits_bram_r.block_ram.db
segbits_bram_r.db
segbits_clbll_l.db
segbits_clbll_r.db
segbits_clblm_l.db
segbits_clblm_r.db
segbits_clk_bufg_bot_r.db
segbits_clk_bufg_rebuf.db
segbits_clk_bufg_top_r.db
segbits_clk_hrow_bot_r.db
segbits_clk_hrow_top_r.db
segbits_dsp_l.db
segbits_dsp_r.db
segbits_hclk_cmt.db
segbits_hclk_cmt_l.db
segbits_hclk_l.db
segbits_hclk_r.db
segbits_int_l.db
segbits_int_r.db
segbits_liob33.db
segbits_riob33.db
settings.sh
site_type_BSCAN.json
site_type_BUFGCTRL.json
site_type_BUFHCE.json
site_type_BUFIO.json
site_type_BUFMRCE.json
site_type_BUFR.json
site_type_CAPTURE.json
site_type_DCIRESET.json
site_type_DNA_PORT.json
site_type_DSP48E1.json
site_type_EFUSE_USR.json
site_type_FIFO18E1.json
site_type_FRAME_ECC.json
site_type_GTPE2_CHANNEL.json
site_type_GTPE2_COMMON.json
site_type_IBUFDS_GTE2.json
site_type_ICAP.json
site_type_IDELAYCTRL.json
site_type_IDELAYE2.json
site_type_ILOGICE3.json
site_type_IN_FIFO.json
site_type_IOB33.json
site_type_IOB33M.json
site_type_IOB33S.json
site_type_IPAD.json
site_type_MMCME2_ADV.json
site_type_OLOGICE3.json
site_type_OPAD.json
site_type_OUT_FIFO.json
site_type_PCIE_2_1.json
site_type_PHASER_IN_PHY.json
site_type_PHASER_OUT_PHY.json
site_type_PHASER_REF.json
site_type_PHY_CONTROL.json
site_type_PLLE2_ADV.json
site_type_PMV2.json
site_type_RAMB18E1.json
site_type_RAMBFIFO36E1.json
site_type_SLICEL.json
site_type_SLICEM.json
site_type_STARTUP.json
site_type_TIEOFF.json
site_type_USR_ACCESS.json
site_type_XADC.json
tile_type_B_TERM_INT.json
tile_type_BRAM_INT_INTERFACE_L.json
tile_type_BRAM_INT_INTERFACE_R.json
tile_type_BRAM_L.json
tile_type_BRAM_R.json
tile_type_BRKH_B_TERM_INT.json
tile_type_BRKH_BRAM.json
tile_type_BRKH_CLB.json
tile_type_BRKH_CLK.json
tile_type_BRKH_CMT.json
tile_type_BRKH_DSP_L.json
tile_type_BRKH_DSP_R.json
tile_type_BRKH_GTX.json
tile_type_BRKH_INT.json
tile_type_BRKH_TERM_INT.json
tile_type_CFG_CENTER_BOT.json
tile_type_CFG_CENTER_MID.json
tile_type_CFG_CENTER_TOP.json
tile_type_CLBLL_L.json
tile_type_CLBLL_R.json
tile_type_CLBLM_L.json
tile_type_CLBLM_R.json
tile_type_CLK_BUFG_BOT_R.json
tile_type_CLK_BUFG_REBUF.json
tile_type_CLK_BUFG_TOP_R.json
tile_type_CLK_FEED.json
tile_type_CLK_HROW_BOT_R.json
tile_type_CLK_HROW_TOP_R.json
tile_type_CLK_MTBF2.json
tile_type_CLK_PMV.json
tile_type_CLK_PMV2.json
tile_type_CLK_PMV2_SVT.json
tile_type_CLK_PMVIOB.json
tile_type_CLK_TERM.json
tile_type_CMT_FIFO_L.json
tile_type_CMT_FIFO_R.json
tile_type_CMT_PMV.json
tile_type_CMT_PMV_L.json
tile_type_CMT_TOP_L_LOWER_B.json
tile_type_CMT_TOP_L_LOWER_T.json
tile_type_CMT_TOP_L_UPPER_B.json
tile_type_CMT_TOP_L_UPPER_T.json
tile_type_CMT_TOP_R_LOWER_B.json
tile_type_CMT_TOP_R_LOWER_T.json
tile_type_CMT_TOP_R_UPPER_B.json
tile_type_CMT_TOP_R_UPPER_T.json
tile_type_DSP_L.json
tile_type_DSP_R.json
tile_type_GTP_CHANNEL_0.json
tile_type_GTP_CHANNEL_1.json
tile_type_GTP_CHANNEL_2.json
tile_type_GTP_CHANNEL_3.json
tile_type_GTP_COMMON.json
tile_type_GTP_INT_INTERFACE.json
tile_type_HCLK_BRAM.json
tile_type_HCLK_CLB.json
tile_type_HCLK_CMT.json
tile_type_HCLK_CMT_L.json
tile_type_HCLK_DSP_L.json
tile_type_HCLK_DSP_R.json
tile_type_HCLK_FEEDTHRU_1.json
tile_type_HCLK_FEEDTHRU_2.json
tile_type_HCLK_FIFO_L.json
tile_type_HCLK_GTX.json
tile_type_HCLK_INT_INTERFACE.json
tile_type_HCLK_IOB.json
tile_type_HCLK_IOI3.json
tile_type_HCLK_L.json
tile_type_HCLK_L_BOT_UTURN.json
tile_type_HCLK_R.json
tile_type_HCLK_R_BOT_UTURN.json
tile_type_HCLK_TERM.json
tile_type_HCLK_TERM_GTX.json
tile_type_HCLK_VBRK.json
tile_type_HCLK_VFRAME.json
tile_type_INT_FEEDTHRU_1.json
tile_type_INT_FEEDTHRU_2.json
tile_type_INT_INTERFACE_L.json
tile_type_INT_INTERFACE_R.json
tile_type_INT_L.json
tile_type_INT_R.json
tile_type_IO_INT_INTERFACE_L.json
tile_type_IO_INT_INTERFACE_R.json
tile_type_L_TERM_INT.json
tile_type_LIOB33.json
tile_type_LIOB33_SING.json
tile_type_LIOI3.json
tile_type_LIOI3_SING.json
tile_type_LIOI3_TBYTESRC.json
tile_type_LIOI3_TBYTETERM.json
tile_type_MONITOR_BOT.json
tile_type_MONITOR_MID.json
tile_type_MONITOR_TOP.json
tile_type_NULL.json
tile_type_PCIE_BOT.json
tile_type_PCIE_INT_INTERFACE_L.json
tile_type_PCIE_INT_INTERFACE_R.json
tile_type_PCIE_NULL.json
tile_type_PCIE_TOP.json
tile_type_R_TERM_INT.json
tile_type_R_TERM_INT_GTX.json
tile_type_RIOB33.json
tile_type_RIOB33_SING.json
tile_type_RIOI3.json
tile_type_RIOI3_SING.json
tile_type_RIOI3_TBYTESRC.json
tile_type_RIOI3_TBYTETERM.json
tile_type_T_TERM_INT.json
tile_type_TERM_CMT.json
tile_type_VBRK.json
tile_type_VBRK_EXT.json
tile_type_VFRAME.json
tileconn.json
tilegrid.json
xc7a35tcpg236-1.json
xc7a35tcpg236-1.yaml
xc7a35tcsg324-1.json
xc7a35tcsg324-1.yaml
xc7a50tfgg484-1.json
xc7a50tfgg484-1.yaml