Updating artix7 based on "Merge pull request #836 from antmicro/sdf-sort".

This updates the timing SDF files to be sorted (should be easier to read
diffs of the SDF files in the future).

See [Info File](Info.md) for details.
diff --git a/Info.md b/Info.md
index 3af1e36..3c9699b 100644
--- a/Info.md
+++ b/Info.md
@@ -37,20 +37,20 @@
 
 # Details
 
-Last updated on Mon Apr 29 21:36:42 UTC 2019 (2019-04-29T21:36:42+00:00).
+Last updated on Wed May 15 00:27:44 UTC 2019 (2019-05-15T00:27:44+00:00).
 
-Created using [Project X-Ray](https://github.com/SymbiFlow/prjxray) version [27bba88](https://github.com/SymbiFlow/prjxray/commit/27bba88fc8ac6b08dc83a9298ef6f227c5a0d46e).
+Created using [Project X-Ray](https://github.com/SymbiFlow/prjxray) version [6e895f3](https://github.com/SymbiFlow/prjxray/commit/6e895f39c003e929942cc6af8c1378d14238d34f).
 
 Latest commit was;
 ```
-commit 27bba88fc8ac6b08dc83a9298ef6f227c5a0d46e
-Merge: 938f378 85060dd
+commit 6e895f39c003e929942cc6af8c1378d14238d34f
+Merge: e7ce84a e5d2a65
 Author: Tim Ansell <me@mith.ro>
-Date:   Mon Apr 29 09:30:05 2019 -0700
+Date:   Tue May 14 17:24:43 2019 -0700
 
-    Merge pull request #810 from mithro/import-wiki
+    Merge pull request #836 from antmicro/sdf-sort
     
-    Fixing issues from wiki import
+    utils: makesdf: sort the output
 ```
 
 
@@ -59,7 +59,7 @@
 
 ### Settings
 
-Created using following [settings/artix7.sh (sha256: 26e1ff93657132928a3b583c95347267d7afeb18a7b7036f0d7a6ea3367ae803)](https://github.com/SymbiFlow/prjxray/blob/27bba88fc8ac6b08dc83a9298ef6f227c5a0d46e/settings/artix7.sh)
+Created using following [settings/artix7.sh (sha256: 26e1ff93657132928a3b583c95347267d7afeb18a7b7036f0d7a6ea3367ae803)](https://github.com/SymbiFlow/prjxray/blob/6e895f39c003e929942cc6af8c1378d14238d34f/settings/artix7.sh)
 ```shell
 export XRAY_DATABASE="artix7"
 export XRAY_PART="xc7a50tfgg484-1"
@@ -361,46 +361,46 @@
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/BRKH_TERM_INT.sdf`](./artix7/timings/BRKH_TERM_INT.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/B_TERM_INT.sdf`](./artix7/timings/B_TERM_INT.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CFG_CENTER_BOT.sdf`](./artix7/timings/CFG_CENTER_BOT.sdf)
- * [`da68ee39b0b3d97cccf9a57607156e26947ba0b75d769f024c3a94b990838cc2  ./artix7/timings/CFG_CENTER_MID.sdf`](./artix7/timings/CFG_CENTER_MID.sdf)
- * [`a6ee3d276725de5965953133b3298674badd2d9a892043cd67380bef3be9a840  ./artix7/timings/CFG_CENTER_TOP.sdf`](./artix7/timings/CFG_CENTER_TOP.sdf)
- * [`0b73ca38e6c462bd7567c2dea04e8b721bd14d3c0d96ec3d8718eed107e73c8c  ./artix7/timings/CLBLL_L.sdf`](./artix7/timings/CLBLL_L.sdf)
- * [`0b73ca38e6c462bd7567c2dea04e8b721bd14d3c0d96ec3d8718eed107e73c8c  ./artix7/timings/CLBLL_R.sdf`](./artix7/timings/CLBLL_R.sdf)
- * [`76e4fbdcdc31addedea51d1888128b638df941a091cec42dc6da9224ba5ecdd3  ./artix7/timings/CLBLM_L.sdf`](./artix7/timings/CLBLM_L.sdf)
- * [`76e4fbdcdc31addedea51d1888128b638df941a091cec42dc6da9224ba5ecdd3  ./artix7/timings/CLBLM_R.sdf`](./artix7/timings/CLBLM_R.sdf)
+ * [`cd6fac9b7dbf1a487d429380ac8085d18c9d29c1246ae02627822dffafe3ad81  ./artix7/timings/CFG_CENTER_MID.sdf`](./artix7/timings/CFG_CENTER_MID.sdf)
+ * [`812a76710efb916c1f164be2deacabdadacf8059c66b34e6d70da22fd5fb83b4  ./artix7/timings/CFG_CENTER_TOP.sdf`](./artix7/timings/CFG_CENTER_TOP.sdf)
+ * [`99a8265d9d9b9504c7a9da30353540edd417faa80a44f8bee82ff9ecb1460ee3  ./artix7/timings/CLBLL_L.sdf`](./artix7/timings/CLBLL_L.sdf)
+ * [`99a8265d9d9b9504c7a9da30353540edd417faa80a44f8bee82ff9ecb1460ee3  ./artix7/timings/CLBLL_R.sdf`](./artix7/timings/CLBLL_R.sdf)
+ * [`dbfcf17321beba13500b27a60b111f77ea33979f496d2eec9183062e31ba3192  ./artix7/timings/CLBLM_L.sdf`](./artix7/timings/CLBLM_L.sdf)
+ * [`dbfcf17321beba13500b27a60b111f77ea33979f496d2eec9183062e31ba3192  ./artix7/timings/CLBLM_R.sdf`](./artix7/timings/CLBLM_R.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CLK_BUFG_BOT_R.sdf`](./artix7/timings/CLK_BUFG_BOT_R.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CLK_BUFG_REBUF.sdf`](./artix7/timings/CLK_BUFG_REBUF.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CLK_BUFG_TOP_R.sdf`](./artix7/timings/CLK_BUFG_TOP_R.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CLK_FEED.sdf`](./artix7/timings/CLK_FEED.sdf)
- * [`534489925413749644e5ec08fc25b07264e88db91a75a7b76a4ca612bee8f23b  ./artix7/timings/CLK_HROW_BOT_R.sdf`](./artix7/timings/CLK_HROW_BOT_R.sdf)
- * [`534489925413749644e5ec08fc25b07264e88db91a75a7b76a4ca612bee8f23b  ./artix7/timings/CLK_HROW_TOP_R.sdf`](./artix7/timings/CLK_HROW_TOP_R.sdf)
+ * [`36ff9133a29111718ad6ec58246ac6ae789f402437bf71c55c45f843d5c4a4d0  ./artix7/timings/CLK_HROW_BOT_R.sdf`](./artix7/timings/CLK_HROW_BOT_R.sdf)
+ * [`36ff9133a29111718ad6ec58246ac6ae789f402437bf71c55c45f843d5c4a4d0  ./artix7/timings/CLK_HROW_TOP_R.sdf`](./artix7/timings/CLK_HROW_TOP_R.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CLK_MTBF2.sdf`](./artix7/timings/CLK_MTBF2.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CLK_PMV.sdf`](./artix7/timings/CLK_PMV.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CLK_PMV2.sdf`](./artix7/timings/CLK_PMV2.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CLK_PMV2_SVT.sdf`](./artix7/timings/CLK_PMV2_SVT.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CLK_PMVIOB.sdf`](./artix7/timings/CLK_PMVIOB.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CLK_TERM.sdf`](./artix7/timings/CLK_TERM.sdf)
- * [`11ee82949c2bda0d78181c7134f2809f5630cda2b28b5e4203add1cde7372fcc  ./artix7/timings/CMT_FIFO_L.sdf`](./artix7/timings/CMT_FIFO_L.sdf)
- * [`11ee82949c2bda0d78181c7134f2809f5630cda2b28b5e4203add1cde7372fcc  ./artix7/timings/CMT_FIFO_R.sdf`](./artix7/timings/CMT_FIFO_R.sdf)
+ * [`b66eb5de5e90669e7e0336da3da1fcf8da77ce87c138d54d7e9f88c3daaa7bb1  ./artix7/timings/CMT_FIFO_L.sdf`](./artix7/timings/CMT_FIFO_L.sdf)
+ * [`b66eb5de5e90669e7e0336da3da1fcf8da77ce87c138d54d7e9f88c3daaa7bb1  ./artix7/timings/CMT_FIFO_R.sdf`](./artix7/timings/CMT_FIFO_R.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CMT_PMV.sdf`](./artix7/timings/CMT_PMV.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/CMT_PMV_L.sdf`](./artix7/timings/CMT_PMV_L.sdf)
- * [`f0a3f422e55c37190cc023d7881632ce105b9519b0b32e153ba6c65c54863c6f  ./artix7/timings/CMT_TOP_L_LOWER_B.sdf`](./artix7/timings/CMT_TOP_L_LOWER_B.sdf)
- * [`97c0b23cfc1e555fab674bd2c107a14790554bc0314a2cfe7d940b4ea3ebe72b  ./artix7/timings/CMT_TOP_L_LOWER_T.sdf`](./artix7/timings/CMT_TOP_L_LOWER_T.sdf)
- * [`f03b8c8d322e560be02fda8951fa17daef00b3bb7acde8d6e02389aeafc31483  ./artix7/timings/CMT_TOP_L_UPPER_B.sdf`](./artix7/timings/CMT_TOP_L_UPPER_B.sdf)
- * [`19e18e8427407f3c1cb679d297cfa9028e10bf1eb6762ceef6dd0df1e77979ac  ./artix7/timings/CMT_TOP_L_UPPER_T.sdf`](./artix7/timings/CMT_TOP_L_UPPER_T.sdf)
- * [`f0a3f422e55c37190cc023d7881632ce105b9519b0b32e153ba6c65c54863c6f  ./artix7/timings/CMT_TOP_R_LOWER_B.sdf`](./artix7/timings/CMT_TOP_R_LOWER_B.sdf)
- * [`97c0b23cfc1e555fab674bd2c107a14790554bc0314a2cfe7d940b4ea3ebe72b  ./artix7/timings/CMT_TOP_R_LOWER_T.sdf`](./artix7/timings/CMT_TOP_R_LOWER_T.sdf)
- * [`f03b8c8d322e560be02fda8951fa17daef00b3bb7acde8d6e02389aeafc31483  ./artix7/timings/CMT_TOP_R_UPPER_B.sdf`](./artix7/timings/CMT_TOP_R_UPPER_B.sdf)
- * [`19e18e8427407f3c1cb679d297cfa9028e10bf1eb6762ceef6dd0df1e77979ac  ./artix7/timings/CMT_TOP_R_UPPER_T.sdf`](./artix7/timings/CMT_TOP_R_UPPER_T.sdf)
- * [`99916eea41e8a31ac142c2b6990fba1d78b4cd240e1db58ec91a2a5f9069b847  ./artix7/timings/GTP_CHANNEL_0.sdf`](./artix7/timings/GTP_CHANNEL_0.sdf)
- * [`99916eea41e8a31ac142c2b6990fba1d78b4cd240e1db58ec91a2a5f9069b847  ./artix7/timings/GTP_CHANNEL_1.sdf`](./artix7/timings/GTP_CHANNEL_1.sdf)
- * [`99916eea41e8a31ac142c2b6990fba1d78b4cd240e1db58ec91a2a5f9069b847  ./artix7/timings/GTP_CHANNEL_2.sdf`](./artix7/timings/GTP_CHANNEL_2.sdf)
- * [`99916eea41e8a31ac142c2b6990fba1d78b4cd240e1db58ec91a2a5f9069b847  ./artix7/timings/GTP_CHANNEL_3.sdf`](./artix7/timings/GTP_CHANNEL_3.sdf)
- * [`3c3d717b8c01c8faba8475822720de13896ebdb4beeb96b21a31835b11ab54d2  ./artix7/timings/GTP_COMMON.sdf`](./artix7/timings/GTP_COMMON.sdf)
+ * [`f7ce46685da0dcb128c08368d54744298097d42e153c2b290c4161cdf6e21a04  ./artix7/timings/CMT_TOP_L_LOWER_B.sdf`](./artix7/timings/CMT_TOP_L_LOWER_B.sdf)
+ * [`3fe24923c7943ae381284c831b9bfc258b923af97b9764cae748a9a0c4f29c8a  ./artix7/timings/CMT_TOP_L_LOWER_T.sdf`](./artix7/timings/CMT_TOP_L_LOWER_T.sdf)
+ * [`02beb763074fb8ee7f4ec4fb37250102e9ebafc9cdeb5374da8c0bf783661400  ./artix7/timings/CMT_TOP_L_UPPER_B.sdf`](./artix7/timings/CMT_TOP_L_UPPER_B.sdf)
+ * [`29ad486b2420a82118bb311a3e6f9fe53e5d6323d0be0a84bd4f6438f50d3d1e  ./artix7/timings/CMT_TOP_L_UPPER_T.sdf`](./artix7/timings/CMT_TOP_L_UPPER_T.sdf)
+ * [`f7ce46685da0dcb128c08368d54744298097d42e153c2b290c4161cdf6e21a04  ./artix7/timings/CMT_TOP_R_LOWER_B.sdf`](./artix7/timings/CMT_TOP_R_LOWER_B.sdf)
+ * [`3fe24923c7943ae381284c831b9bfc258b923af97b9764cae748a9a0c4f29c8a  ./artix7/timings/CMT_TOP_R_LOWER_T.sdf`](./artix7/timings/CMT_TOP_R_LOWER_T.sdf)
+ * [`02beb763074fb8ee7f4ec4fb37250102e9ebafc9cdeb5374da8c0bf783661400  ./artix7/timings/CMT_TOP_R_UPPER_B.sdf`](./artix7/timings/CMT_TOP_R_UPPER_B.sdf)
+ * [`29ad486b2420a82118bb311a3e6f9fe53e5d6323d0be0a84bd4f6438f50d3d1e  ./artix7/timings/CMT_TOP_R_UPPER_T.sdf`](./artix7/timings/CMT_TOP_R_UPPER_T.sdf)
+ * [`4f8382d090f11c8c139d227957e6127bcb3d4742bb1ebde7b883eec48a7e7974  ./artix7/timings/GTP_CHANNEL_0.sdf`](./artix7/timings/GTP_CHANNEL_0.sdf)
+ * [`4f8382d090f11c8c139d227957e6127bcb3d4742bb1ebde7b883eec48a7e7974  ./artix7/timings/GTP_CHANNEL_1.sdf`](./artix7/timings/GTP_CHANNEL_1.sdf)
+ * [`4f8382d090f11c8c139d227957e6127bcb3d4742bb1ebde7b883eec48a7e7974  ./artix7/timings/GTP_CHANNEL_2.sdf`](./artix7/timings/GTP_CHANNEL_2.sdf)
+ * [`4f8382d090f11c8c139d227957e6127bcb3d4742bb1ebde7b883eec48a7e7974  ./artix7/timings/GTP_CHANNEL_3.sdf`](./artix7/timings/GTP_CHANNEL_3.sdf)
+ * [`823dfa36be490839caec9dadc24474f8136406ab92178e4bd8b0a109fd102bdc  ./artix7/timings/GTP_COMMON.sdf`](./artix7/timings/GTP_COMMON.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/GTP_INT_INTERFACE.sdf`](./artix7/timings/GTP_INT_INTERFACE.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/HCLK_BRAM.sdf`](./artix7/timings/HCLK_BRAM.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/HCLK_CLB.sdf`](./artix7/timings/HCLK_CLB.sdf)
- * [`1e9ba23fac7d5d7e2ad25c4f395981d9e5a00d580fd96e2d40bc37bce4859558  ./artix7/timings/HCLK_CMT.sdf`](./artix7/timings/HCLK_CMT.sdf)
- * [`1e9ba23fac7d5d7e2ad25c4f395981d9e5a00d580fd96e2d40bc37bce4859558  ./artix7/timings/HCLK_CMT_L.sdf`](./artix7/timings/HCLK_CMT_L.sdf)
+ * [`99727d100f5d3bd7cb0e9a8458ed3ef8536d0097e24a899bc877dd04450b79f4  ./artix7/timings/HCLK_CMT.sdf`](./artix7/timings/HCLK_CMT.sdf)
+ * [`99727d100f5d3bd7cb0e9a8458ed3ef8536d0097e24a899bc877dd04450b79f4  ./artix7/timings/HCLK_CMT_L.sdf`](./artix7/timings/HCLK_CMT_L.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/HCLK_DSP_L.sdf`](./artix7/timings/HCLK_DSP_L.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/HCLK_DSP_R.sdf`](./artix7/timings/HCLK_DSP_R.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/HCLK_FEEDTHRU_1.sdf`](./artix7/timings/HCLK_FEEDTHRU_1.sdf)
@@ -409,7 +409,7 @@
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/HCLK_GTX.sdf`](./artix7/timings/HCLK_GTX.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/HCLK_INT_INTERFACE.sdf`](./artix7/timings/HCLK_INT_INTERFACE.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/HCLK_IOB.sdf`](./artix7/timings/HCLK_IOB.sdf)
- * [`338525f5ef736f1407baff9ff4eabff53a9abb0a37e8c4876a8f6d4782a8658e  ./artix7/timings/HCLK_IOI3.sdf`](./artix7/timings/HCLK_IOI3.sdf)
+ * [`c6cabe7722ffe90e8ccd1ed86fdda7ab1710eae1298e82cf0e5d1138b9b6a446  ./artix7/timings/HCLK_IOI3.sdf`](./artix7/timings/HCLK_IOI3.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/HCLK_L.sdf`](./artix7/timings/HCLK_L.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/HCLK_L_BOT_UTURN.sdf`](./artix7/timings/HCLK_L_BOT_UTURN.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/HCLK_R.sdf`](./artix7/timings/HCLK_R.sdf)
@@ -426,27 +426,27 @@
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/INT_R.sdf`](./artix7/timings/INT_R.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/IO_INT_INTERFACE_L.sdf`](./artix7/timings/IO_INT_INTERFACE_L.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/IO_INT_INTERFACE_R.sdf`](./artix7/timings/IO_INT_INTERFACE_R.sdf)
- * [`672c12b334f07c7243bcbd35d14742c460aee6e7d70fcdf6acecfa811da1456b  ./artix7/timings/LIOB33.sdf`](./artix7/timings/LIOB33.sdf)
+ * [`e10996f1fc67db81b7cd58e11297e6a2687d2103281199434f8c588813d66016  ./artix7/timings/LIOB33.sdf`](./artix7/timings/LIOB33.sdf)
  * [`9befc627c1bb83308433ab488ade8d0e4e26dd59490d8d8f622a68406077b04f  ./artix7/timings/LIOB33_SING.sdf`](./artix7/timings/LIOB33_SING.sdf)
- * [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f  ./artix7/timings/LIOI3.sdf`](./artix7/timings/LIOI3.sdf)
- * [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f  ./artix7/timings/LIOI3_SING.sdf`](./artix7/timings/LIOI3_SING.sdf)
- * [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f  ./artix7/timings/LIOI3_TBYTESRC.sdf`](./artix7/timings/LIOI3_TBYTESRC.sdf)
- * [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f  ./artix7/timings/LIOI3_TBYTETERM.sdf`](./artix7/timings/LIOI3_TBYTETERM.sdf)
+ * [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f  ./artix7/timings/LIOI3.sdf`](./artix7/timings/LIOI3.sdf)
+ * [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f  ./artix7/timings/LIOI3_SING.sdf`](./artix7/timings/LIOI3_SING.sdf)
+ * [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f  ./artix7/timings/LIOI3_TBYTESRC.sdf`](./artix7/timings/LIOI3_TBYTESRC.sdf)
+ * [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f  ./artix7/timings/LIOI3_TBYTETERM.sdf`](./artix7/timings/LIOI3_TBYTETERM.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/L_TERM_INT.sdf`](./artix7/timings/L_TERM_INT.sdf)
- * [`7e518763e9de6a3ae4c55b05cb24eb7541ff077a89fd6996a58939e225ff3dfc  ./artix7/timings/MONITOR_BOT.sdf`](./artix7/timings/MONITOR_BOT.sdf)
+ * [`9d8f7ba72a6cf7ab618f8e570cf18dc3717de406f3d3f97303395f312a0013ae  ./artix7/timings/MONITOR_BOT.sdf`](./artix7/timings/MONITOR_BOT.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/MONITOR_MID.sdf`](./artix7/timings/MONITOR_MID.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/MONITOR_TOP.sdf`](./artix7/timings/MONITOR_TOP.sdf)
- * [`d6c9c750697ae9b1c7da71ee199a36d141d049a1b0cf0acc80086cc2291e2b34  ./artix7/timings/PCIE_BOT.sdf`](./artix7/timings/PCIE_BOT.sdf)
+ * [`d9b2b73637555d64f23e488085618c6d4293699dda806cb95a4f4219dae3d980  ./artix7/timings/PCIE_BOT.sdf`](./artix7/timings/PCIE_BOT.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/PCIE_INT_INTERFACE_L.sdf`](./artix7/timings/PCIE_INT_INTERFACE_L.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/PCIE_INT_INTERFACE_R.sdf`](./artix7/timings/PCIE_INT_INTERFACE_R.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/PCIE_NULL.sdf`](./artix7/timings/PCIE_NULL.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/PCIE_TOP.sdf`](./artix7/timings/PCIE_TOP.sdf)
- * [`672c12b334f07c7243bcbd35d14742c460aee6e7d70fcdf6acecfa811da1456b  ./artix7/timings/RIOB33.sdf`](./artix7/timings/RIOB33.sdf)
+ * [`e10996f1fc67db81b7cd58e11297e6a2687d2103281199434f8c588813d66016  ./artix7/timings/RIOB33.sdf`](./artix7/timings/RIOB33.sdf)
  * [`9befc627c1bb83308433ab488ade8d0e4e26dd59490d8d8f622a68406077b04f  ./artix7/timings/RIOB33_SING.sdf`](./artix7/timings/RIOB33_SING.sdf)
- * [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f  ./artix7/timings/RIOI3.sdf`](./artix7/timings/RIOI3.sdf)
- * [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f  ./artix7/timings/RIOI3_SING.sdf`](./artix7/timings/RIOI3_SING.sdf)
- * [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f  ./artix7/timings/RIOI3_TBYTESRC.sdf`](./artix7/timings/RIOI3_TBYTESRC.sdf)
- * [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f  ./artix7/timings/RIOI3_TBYTETERM.sdf`](./artix7/timings/RIOI3_TBYTETERM.sdf)
+ * [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f  ./artix7/timings/RIOI3.sdf`](./artix7/timings/RIOI3.sdf)
+ * [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f  ./artix7/timings/RIOI3_SING.sdf`](./artix7/timings/RIOI3_SING.sdf)
+ * [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f  ./artix7/timings/RIOI3_TBYTESRC.sdf`](./artix7/timings/RIOI3_TBYTESRC.sdf)
+ * [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f  ./artix7/timings/RIOI3_TBYTETERM.sdf`](./artix7/timings/RIOI3_TBYTETERM.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/R_TERM_INT.sdf`](./artix7/timings/R_TERM_INT.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/R_TERM_INT_GTX.sdf`](./artix7/timings/R_TERM_INT_GTX.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/TERM_CMT.sdf`](./artix7/timings/TERM_CMT.sdf)
@@ -454,8 +454,8 @@
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/VBRK.sdf`](./artix7/timings/VBRK.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/VBRK_EXT.sdf`](./artix7/timings/VBRK_EXT.sdf)
  * [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5  ./artix7/timings/VFRAME.sdf`](./artix7/timings/VFRAME.sdf)
- * [`2ba5ff1badba4350de2be5e1cb9b81b28b1ea715e5bbdc8d500d525615977bad  ./artix7/timings/slicel.sdf`](./artix7/timings/slicel.sdf)
- * [`d553984e9712cff2e4bd941fd12162f1fdf18c74ad9c2126f4346e981b7f21d9  ./artix7/timings/slicem.sdf`](./artix7/timings/slicem.sdf)
+ * [`d265a4878ba731554e7ab84939adc6a163076ca4f1345db79a8172558d0ebab9  ./artix7/timings/slicel.sdf`](./artix7/timings/slicel.sdf)
+ * [`86cdcd5e028d5c08865e841eda91e535a15744af99c092e073121668e955515f  ./artix7/timings/slicem.sdf`](./artix7/timings/slicem.sdf)
  * [`4c20ee41ea32668919b7d91a7fabe38960e0ee4d5b3b83f1d18102d48895bf1c  ./artix7/xc7a35tcpg236-1.json`](./artix7/xc7a35tcpg236-1.json)
  * [`ef0724733da87455426a0f833642d96e9d206d047f4eb97072c3093f80c40d7d  ./artix7/xc7a35tcpg236-1.yaml`](./artix7/xc7a35tcpg236-1.yaml)
  * [`ac928ee3c50e91facacb4173fdf70384f56e046bb14581bf75f760e406fe4f78  ./artix7/xc7a35tcpg236-1_package_pins.csv`](./artix7/xc7a35tcpg236-1_package_pins.csv)
@@ -471,7 +471,7 @@
 
 ### Settings
 
-Created using following [settings/kintex7.sh (sha256: 794538b550d399255cbafeeb1b5ff183dc66ebc6cd8dc0f725e3f6a3977f757d)](https://github.com/SymbiFlow/prjxray/blob/27bba88fc8ac6b08dc83a9298ef6f227c5a0d46e/settings/kintex7.sh)
+Created using following [settings/kintex7.sh (sha256: 794538b550d399255cbafeeb1b5ff183dc66ebc6cd8dc0f725e3f6a3977f757d)](https://github.com/SymbiFlow/prjxray/blob/6e895f39c003e929942cc6af8c1378d14238d34f/settings/kintex7.sh)
 ```shell
 export XRAY_DATABASE="kintex7"
 export XRAY_PART="xc7k70tfbg676-2"
@@ -745,7 +745,7 @@
 
 ### Settings
 
-Created using following [settings/zynq7.sh (sha256: 61298e89dcc873eadba47f5c2f9f72a38fa022583b2c7ff89246b2e051585ad8)](https://github.com/SymbiFlow/prjxray/blob/27bba88fc8ac6b08dc83a9298ef6f227c5a0d46e/settings/zynq7.sh)
+Created using following [settings/zynq7.sh (sha256: 61298e89dcc873eadba47f5c2f9f72a38fa022583b2c7ff89246b2e051585ad8)](https://github.com/SymbiFlow/prjxray/blob/6e895f39c003e929942cc6af8c1378d14238d34f/settings/zynq7.sh)
 ```shell
 export XRAY_DATABASE="zynq7"
 export XRAY_PART="xc7z010clg400-1"
diff --git a/artix7/timings/CFG_CENTER_MID.sdf b/artix7/timings/CFG_CENTER_MID.sdf
index e194366..bea5e9b 100644
--- a/artix7/timings/CFG_CENTER_MID.sdf
+++ b/artix7/timings/CFG_CENTER_MID.sdf
@@ -17,8 +17,8 @@
         (TIMINGCHECK
             (HOLD CSIB (posedge CLK) (0.000::0.000))
             (SETUP CSIB (posedge CLK) (3.390::3.900))
-            (HOLD CLK (posedge CLK) (0.000::0.000))
-            (SETUP CLK (posedge CLK) (5.587::6.427))
+            (HOLD RDWRB (posedge CLK) (0.000::0.000))
+            (SETUP RDWRB (posedge CLK) (5.587::6.427))
         )
     )
     (CELL
diff --git a/artix7/timings/CFG_CENTER_TOP.sdf b/artix7/timings/CFG_CENTER_TOP.sdf
index 604479e..d529532 100644
--- a/artix7/timings/CFG_CENTER_TOP.sdf
+++ b/artix7/timings/CFG_CENTER_TOP.sdf
@@ -12,12 +12,12 @@
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.000::0.000))
-            (SETUP CLK (posedge CLK) (2.793::3.214))
-            (HOLD CLK (posedge CLK) (0.000::0.000))
-            (SETUP CLK (posedge CLK) (4.656::5.356))
-            (HOLD CLK (posedge CLK) (0.000::0.000))
-            (SETUP CLK (posedge CLK) (4.656::5.356))
+            (HOLD DIN (posedge CLK) (0.000::0.000))
+            (SETUP DIN (posedge CLK) (2.793::3.214))
+            (HOLD READ (posedge CLK) (0.000::0.000))
+            (SETUP READ (posedge CLK) (4.656::5.356))
+            (HOLD SHIFT (posedge CLK) (0.000::0.000))
+            (SETUP SHIFT (posedge CLK) (4.656::5.356))
         )
     )
 )
\ No newline at end of file
diff --git a/artix7/timings/CLBLL_L.sdf b/artix7/timings/CLBLL_L.sdf
index c3b3323..6fed5dc 100644
--- a/artix7/timings/CLBLL_L.sdf
+++ b/artix7/timings/CLBLL_L.sdf
@@ -4,131 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/D5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
-                (IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
-                (IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
-                (IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
-                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/C5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
-                (IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
-                (IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
-                (IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
-                (IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
-                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
-                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
-                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-                (IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
-                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
-                (IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
-                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
-                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
-                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
-                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LBOTH")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
-                (IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
-                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
-                (IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
-                (IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
-                (IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
-                (IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
-                (IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
-                (IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
-                (IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
-                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
-                (IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
-                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
-                (IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LBOTH")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
-                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
-                (IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
-                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
-                (IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
-                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_BX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
-                (IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
-                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
-                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
-                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "CARRY4")
         (INSTANCE SLICEL)
         (DELAY
@@ -173,22 +48,58 @@
         )
     )
     (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (CELLTYPE "CARRY4_AX")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
+                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
+                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
+                (IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
+                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
+                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
+                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LBOTH")
+        (CELLTYPE "CARRY4_AX_LBOTH")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
-                (IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
+                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
+                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
+                (IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
+                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
+                (IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
+                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
+                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
+                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
+                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
+                (IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_BX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
+                (IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
+                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
+                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
+                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
             )
         )
     )
@@ -205,46 +116,6 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD CE (posedge CLK) (-0.011::-0.009))
-            (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.288::0.358))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CE Q (0.130::0.162)(0.409::0.507))
-                (IOPATH CLK Q (0.129::0.160)(0.357::0.443))
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.288::0.358))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
         (CELLTYPE "CARRY4_BX_LFF")
         (INSTANCE SLICEL)
         (DELAY
@@ -257,11 +128,87 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT_QL")
+        (CELLTYPE "CARRY4_CX")
         (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.326::0.404))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
+                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
+                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LBOTH")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
+                (IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
+                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_DX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LBOTH")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
+                (IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
+                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
+                (IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
+                (IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
+                (IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
+                (IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
+                (IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
+                (IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
+                (IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
+                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
+                (IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
+                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
+                (IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
+                (IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
+                (IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
+                (IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
+                (IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
+                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
+                (IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
+                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
+                (IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
+                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
+                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
+                (IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
+                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
+                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
+            )
         )
     )
     (CELL
@@ -289,6 +236,35 @@
         )
     )
     (CELL
+        (CELLTYPE "FF_INIT")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CE (posedge CLK) (-0.011::-0.009))
+            (SETUP CE (posedge CLK) (0.088::0.109))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QH")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.288::0.358))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QL")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.326::0.404))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+    )
+    (CELL
         (CELLTYPE "REG_INIT_FF")
         (INSTANCE SLICEL)
         (DELAY
@@ -302,44 +278,11 @@
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LFF")
+        (CELLTYPE "REG_INIT_FF_QH")
         (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
-                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
-                (IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
-                (IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
-                (IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
-                (IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
-                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
-                (IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
-                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
-                (IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
-                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
-                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
-                (IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
-                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
-                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_DX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
-            )
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.288::0.358))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
         )
     )
     (CELL
@@ -351,49 +294,33 @@
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F7AMUX)
+        (CELLTYPE "REG_INIT_LAT")
+        (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
-                (IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
-                (IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
+                (IOPATH CE Q (0.130::0.162)(0.409::0.507))
+                (IOPATH CLK Q (0.129::0.160)(0.357::0.443))
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
             )
         )
     )
     (CELL
-        (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/C6LUT)
+        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
+        (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
             )
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F7BMUX)
+        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
-                (IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
-                (IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F8MUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
-                (IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
-                (IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
             )
         )
     )
@@ -412,20 +339,6 @@
     )
     (CELL
         (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/B6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT6")
         (INSTANCE SLICEL/A6LUT)
         (DELAY
             (ABSOLUTE
@@ -453,6 +366,60 @@
     )
     (CELL
         (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/B6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/C5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
+                (IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
+                (IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
+                (IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
+                (IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/C6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/D5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
+                (IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
+                (IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
+                (IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
+                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT6")
         (INSTANCE SLICEL/D6LUT)
         (DELAY
             (ABSOLUTE
@@ -465,4 +432,37 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F7AMUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
+                (IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
+                (IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F7BMUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
+                (IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
+                (IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F8MUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
+                (IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
+                (IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
+            )
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CLBLL_R.sdf b/artix7/timings/CLBLL_R.sdf
index c3b3323..6fed5dc 100644
--- a/artix7/timings/CLBLL_R.sdf
+++ b/artix7/timings/CLBLL_R.sdf
@@ -4,131 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/D5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
-                (IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
-                (IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
-                (IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
-                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/C5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
-                (IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
-                (IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
-                (IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
-                (IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
-                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
-                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
-                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-                (IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
-                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
-                (IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
-                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
-                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
-                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
-                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LBOTH")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
-                (IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
-                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
-                (IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
-                (IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
-                (IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
-                (IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
-                (IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
-                (IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
-                (IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
-                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
-                (IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
-                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
-                (IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LBOTH")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
-                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
-                (IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
-                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
-                (IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
-                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_BX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
-                (IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
-                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
-                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
-                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "CARRY4")
         (INSTANCE SLICEL)
         (DELAY
@@ -173,22 +48,58 @@
         )
     )
     (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (CELLTYPE "CARRY4_AX")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
+                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
+                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
+                (IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
+                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
+                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
+                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LBOTH")
+        (CELLTYPE "CARRY4_AX_LBOTH")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
-                (IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
+                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
+                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
+                (IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
+                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
+                (IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
+                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
+                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
+                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
+                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
+                (IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_BX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
+                (IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
+                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
+                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
+                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
             )
         )
     )
@@ -205,46 +116,6 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD CE (posedge CLK) (-0.011::-0.009))
-            (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.288::0.358))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CE Q (0.130::0.162)(0.409::0.507))
-                (IOPATH CLK Q (0.129::0.160)(0.357::0.443))
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.288::0.358))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
         (CELLTYPE "CARRY4_BX_LFF")
         (INSTANCE SLICEL)
         (DELAY
@@ -257,11 +128,87 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT_QL")
+        (CELLTYPE "CARRY4_CX")
         (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.326::0.404))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
+                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
+                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LBOTH")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
+                (IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
+                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_DX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LBOTH")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
+                (IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
+                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
+                (IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
+                (IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
+                (IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
+                (IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
+                (IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
+                (IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
+                (IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
+                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
+                (IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
+                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
+                (IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
+                (IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
+                (IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
+                (IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
+                (IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
+                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
+                (IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
+                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
+                (IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
+                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
+                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
+                (IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
+                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
+                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
+            )
         )
     )
     (CELL
@@ -289,6 +236,35 @@
         )
     )
     (CELL
+        (CELLTYPE "FF_INIT")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CE (posedge CLK) (-0.011::-0.009))
+            (SETUP CE (posedge CLK) (0.088::0.109))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QH")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.288::0.358))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QL")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.326::0.404))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+    )
+    (CELL
         (CELLTYPE "REG_INIT_FF")
         (INSTANCE SLICEL)
         (DELAY
@@ -302,44 +278,11 @@
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LFF")
+        (CELLTYPE "REG_INIT_FF_QH")
         (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
-                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
-                (IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
-                (IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
-                (IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
-                (IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
-                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
-                (IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
-                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
-                (IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
-                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
-                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
-                (IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
-                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
-                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_DX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
-            )
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.288::0.358))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
         )
     )
     (CELL
@@ -351,49 +294,33 @@
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F7AMUX)
+        (CELLTYPE "REG_INIT_LAT")
+        (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
-                (IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
-                (IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
+                (IOPATH CE Q (0.130::0.162)(0.409::0.507))
+                (IOPATH CLK Q (0.129::0.160)(0.357::0.443))
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
             )
         )
     )
     (CELL
-        (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/C6LUT)
+        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
+        (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
             )
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F7BMUX)
+        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
-                (IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
-                (IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F8MUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
-                (IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
-                (IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
             )
         )
     )
@@ -412,20 +339,6 @@
     )
     (CELL
         (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/B6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT6")
         (INSTANCE SLICEL/A6LUT)
         (DELAY
             (ABSOLUTE
@@ -453,6 +366,60 @@
     )
     (CELL
         (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/B6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/C5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
+                (IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
+                (IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
+                (IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
+                (IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/C6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/D5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
+                (IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
+                (IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
+                (IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
+                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT6")
         (INSTANCE SLICEL/D6LUT)
         (DELAY
             (ABSOLUTE
@@ -465,4 +432,37 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F7AMUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
+                (IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
+                (IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F7BMUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
+                (IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
+                (IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F8MUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
+                (IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
+                (IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
+            )
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CLBLM_L.sdf b/artix7/timings/CLBLM_L.sdf
index 4334498..f4d868d 100644
--- a/artix7/timings/CLBLM_L.sdf
+++ b/artix7/timings/CLBLM_L.sdf
@@ -4,105 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "CARRY4_AX_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
-                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
-                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
-                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-                (IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
-                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
-                (IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
-                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
-                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
-                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
-                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LBOTH")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
-                (IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
-                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
-                (IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
-                (IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
-                (IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
-                (IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
-                (IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
-                (IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
-                (IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
-                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
-                (IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
-                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
-                (IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LBOTH")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
-                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
-                (IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
-                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
-                (IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
-                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_BX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
-                (IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
-                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
-                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
-                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "CARRY4")
         (INSTANCE SLICEL)
         (DELAY
@@ -147,22 +48,58 @@
         )
     )
     (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (CELLTYPE "CARRY4_AX")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
+                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
+                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
+                (IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
+                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
+                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
+                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LBOTH")
+        (CELLTYPE "CARRY4_AX_LBOTH")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
-                (IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
+                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
+                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
+                (IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
+                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
+                (IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
+                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
+                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
+                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
+                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
+                (IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_BX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
+                (IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
+                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
+                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
+                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
             )
         )
     )
@@ -179,46 +116,6 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD CE (posedge CLK) (-0.011::-0.009))
-            (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.288::0.358))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CE Q (0.130::0.162)(0.409::0.507))
-                (IOPATH CLK Q (0.129::0.160)(0.357::0.443))
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.288::0.358))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
         (CELLTYPE "CARRY4_BX_LFF")
         (INSTANCE SLICEL)
         (DELAY
@@ -231,11 +128,87 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT_QL")
+        (CELLTYPE "CARRY4_CX")
         (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.326::0.404))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
+                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
+                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LBOTH")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
+                (IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
+                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_DX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LBOTH")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
+                (IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
+                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
+                (IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
+                (IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
+                (IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
+                (IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
+                (IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
+                (IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
+                (IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
+                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
+                (IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
+                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
+                (IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
+                (IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
+                (IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
+                (IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
+                (IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
+                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
+                (IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
+                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
+                (IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
+                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
+                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
+                (IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
+                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
+                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
+            )
         )
     )
     (CELL
@@ -263,6 +236,35 @@
         )
     )
     (CELL
+        (CELLTYPE "FF_INIT")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CE (posedge CLK) (-0.011::-0.009))
+            (SETUP CE (posedge CLK) (0.088::0.109))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QH")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.288::0.358))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QL")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.326::0.404))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+    )
+    (CELL
         (CELLTYPE "REG_INIT_FF")
         (INSTANCE SLICEL)
         (DELAY
@@ -276,44 +278,11 @@
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LFF")
+        (CELLTYPE "REG_INIT_FF_QH")
         (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
-                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
-                (IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
-                (IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
-                (IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
-                (IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
-                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
-                (IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
-                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
-                (IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
-                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
-                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
-                (IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
-                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
-                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_DX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
-            )
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.288::0.358))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
         )
     )
     (CELL
@@ -325,45 +294,101 @@
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F7AMUX)
+        (CELLTYPE "REG_INIT_LAT")
+        (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
-                (IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
-                (IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
+                (IOPATH CE Q (0.130::0.162)(0.409::0.507))
+                (IOPATH CLK Q (0.129::0.160)(0.357::0.443))
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
             )
         )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/B5LUT)
+        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/A5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.122::0.152))
+                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
+                (IOPATH A3 O5 (0.042::0.052)(0.121::0.150))
+                (IOPATH A4 O5 (0.046::0.057)(0.121::0.150))
+                (IOPATH A5 O5 (0.048::0.060)(0.095::0.118))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/A6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/B5LUT)
         (DELAY
             (ABSOLUTE
                 (IOPATH A1 O5 (0.045::0.056)(0.122::0.152))
-                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
-                (IOPATH A3 O5 (0.043::0.053)(0.121::0.150))
-                (IOPATH A4 O5 (0.047::0.058)(0.121::0.150))
-                (IOPATH A5 O5 (0.048::0.060)(0.094::0.117))
-                (IOPATH CLK O5 (0.348::0.434)(0.957::1.187))
+                (IOPATH A2 O5 (0.043::0.054)(0.122::0.152))
+                (IOPATH A3 O5 (0.043::0.053)(0.122::0.152))
+                (IOPATH A4 O5 (0.045::0.056)(0.121::0.150))
+                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
             )
         )
-        (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.154::0.191))
-            (SETUP CLK (posedge CLK) (0.250::0.311))
-        )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/B5LUT)
+        (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/B6LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK O5 (0.439::0.547)(1.190::1.476))
+                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
             )
         )
-        (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.070::0.087))
-            (SETUP CLK (posedge CLK) (0.126::0.156))
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/C5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
+                (IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
+                (IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
+                (IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
+                (IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
+            )
         )
     )
     (CELL
@@ -381,13 +406,15 @@
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F7BMUX)
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/D5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
-                (IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
-                (IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
+                (IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
+                (IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
+                (IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
+                (IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
+                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
             )
         )
     )
@@ -406,128 +433,35 @@
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_AX_LFF")
-        (INSTANCE SLICEM)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F7AMUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO0 (0.117::0.146)(0.311::0.386))
-                (IOPATH DI0 CO1 (0.141::0.176)(0.391::0.485))
-                (IOPATH DI0 CO2 (0.165::0.206)(0.452::0.560))
-                (IOPATH DI0 O1 (0.129::0.160)(0.339::0.421))
-                (IOPATH DI0 O2 (0.165::0.205)(0.467::0.579))
-                (IOPATH DI0 O3 (0.186::0.232)(0.518::0.642))
+                (IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
+                (IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
+                (IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
             )
         )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM6SHFREG")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (HOLD WE (posedge CLK) (0.011::0.014))
-            (SETUP WE (posedge CLK) (0.514::0.638))
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX")
-        (INSTANCE SLICEM)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F7BMUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO0 (0.117::0.146)(0.317::0.393))
-                (IOPATH DI0 CO1 (0.141::0.176)(0.394::0.488))
-                (IOPATH DI0 CO2 (0.167::0.208)(0.454::0.564))
-                (IOPATH DI0 CO3 (0.169::0.210)(0.444::0.550))
-                (IOPATH DI0 O1 (0.129::0.161)(0.340::0.422))
-                (IOPATH DI0 O2 (0.164::0.204)(0.467::0.579))
-                (IOPATH DI0 O3 (0.187::0.233)(0.518::0.642))
+                (IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
+                (IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
+                (IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX")
-        (INSTANCE SLICEM)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F8MUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.101::0.126)(0.295::0.366))
-                (IOPATH DI2 CO3 (0.119::0.148)(0.326::0.404))
-                (IOPATH DI2 O3 (0.129::0.161)(0.360::0.447))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CE Q (0.129::0.161)(0.415::0.514))
-                (IOPATH CLK Q (0.132::0.164)(0.378::0.469))
-                (IOPATH D Q (0.075::0.094)(0.214::0.265))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LBOTH")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.084::0.111)(0.214::0.281))
-                (IOPATH CIN CO1 (0.051::0.063)(0.144::0.179))
-                (IOPATH CIN CO2 (0.075::0.094)(0.203::0.252))
-                (IOPATH CIN O0 (0.057::0.084)(0.157::0.232))
-                (IOPATH CIN O1 (0.097::0.121)(0.272::0.337))
-                (IOPATH CIN O2 (0.072::0.089)(0.206::0.256))
-                (IOPATH CIN O3 (0.094::0.116)(0.267::0.331))
-                (IOPATH CYINIT CO0 (0.184::0.229)(0.476::0.591))
-                (IOPATH CYINIT CO1 (0.156::0.194)(0.440::0.546))
-                (IOPATH CYINIT CO2 (0.185::0.230)(0.510::0.632))
-                (IOPATH CYINIT O0 (0.161::0.201)(0.419::0.520))
-                (IOPATH CYINIT O1 (0.191::0.238)(0.519::0.644))
-                (IOPATH CYINIT O2 (0.178::0.222)(0.495::0.614))
-                (IOPATH CYINIT O3 (0.201::0.251)(0.547::0.678))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LBOTH")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.127::0.158)(0.353::0.438))
-                (IOPATH DI0 CO1 (0.149::0.185)(0.412::0.511))
-                (IOPATH DI0 CO2 (0.177::0.220)(0.475::0.590))
-                (IOPATH DI0 O1 (0.136::0.170)(0.351::0.436))
-                (IOPATH DI0 O2 (0.168::0.209)(0.481::0.596))
-                (IOPATH DI0 O3 (0.191::0.238)(0.532::0.659))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH D Q (0.104::0.129)(0.282::0.350))
-                (IOPATH SR Q (0.161::0.201)(0.645::0.800))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (HOLD WE (posedge CLK) (0.011::0.014))
-            (SETUP WE (posedge CLK) (0.514::0.638))
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_BX")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.125::0.156)(0.367::0.456))
-                (IOPATH DI1 CO2 (0.151::0.188)(0.430::0.533))
-                (IOPATH DI1 CO3 (0.150::0.187)(0.419::0.520))
-                (IOPATH DI1 O2 (0.149::0.185)(0.441::0.547))
-                (IOPATH DI1 O3 (0.170::0.212)(0.492::0.610))
+                (IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
+                (IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
+                (IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
             )
         )
     )
@@ -576,22 +510,58 @@
         )
     )
     (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (CELLTYPE "CARRY4_AX")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH D Q (0.104::0.129)(0.282::0.350))
-                (IOPATH SR Q (0.161::0.201)(0.645::0.800))
+                (IOPATH DI0 CO0 (0.117::0.146)(0.317::0.393))
+                (IOPATH DI0 CO1 (0.141::0.176)(0.394::0.488))
+                (IOPATH DI0 CO2 (0.167::0.208)(0.454::0.564))
+                (IOPATH DI0 CO3 (0.169::0.210)(0.444::0.550))
+                (IOPATH DI0 O1 (0.129::0.161)(0.340::0.422))
+                (IOPATH DI0 O2 (0.164::0.204)(0.467::0.579))
+                (IOPATH DI0 O3 (0.187::0.233)(0.518::0.642))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LBOTH")
+        (CELLTYPE "CARRY4_AX_LBOTH")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.108::0.134)(0.315::0.391))
-                (IOPATH DI2 O3 (0.132::0.164)(0.373::0.463))
+                (IOPATH DI0 CO0 (0.127::0.158)(0.353::0.438))
+                (IOPATH DI0 CO1 (0.149::0.185)(0.412::0.511))
+                (IOPATH DI0 CO2 (0.177::0.220)(0.475::0.590))
+                (IOPATH DI0 O1 (0.136::0.170)(0.351::0.436))
+                (IOPATH DI0 O2 (0.168::0.209)(0.481::0.596))
+                (IOPATH DI0 O3 (0.191::0.238)(0.532::0.659))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.117::0.146)(0.311::0.386))
+                (IOPATH DI0 CO1 (0.141::0.176)(0.391::0.485))
+                (IOPATH DI0 CO2 (0.165::0.206)(0.452::0.560))
+                (IOPATH DI0 O1 (0.129::0.160)(0.339::0.421))
+                (IOPATH DI0 O2 (0.165::0.205)(0.467::0.579))
+                (IOPATH DI0 O3 (0.186::0.232)(0.518::0.642))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_BX")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.125::0.156)(0.367::0.456))
+                (IOPATH DI1 CO2 (0.151::0.188)(0.430::0.533))
+                (IOPATH DI1 CO3 (0.150::0.187)(0.419::0.520))
+                (IOPATH DI1 O2 (0.149::0.185)(0.441::0.547))
+                (IOPATH DI1 O3 (0.170::0.212)(0.492::0.610))
             )
         )
     )
@@ -608,59 +578,6 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.102::0.127)(0.259::0.322))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD CE (posedge CLK) (-0.007::-0.005))
-            (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QH")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.279::0.347))
-            (REMOVAL SR (posedge CLK) (-0.292::-0.238))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6LRAM")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (HOLD WA1 (posedge CLK) (0.728::0.538))
-            (SETUP WA1 (posedge CLK) (0.184::0.066))
-            (HOLD WA2 (posedge CLK) (0.745::0.572))
-            (SETUP WA2 (posedge CLK) (0.181::0.068))
-            (HOLD CLK (posedge CLK) (0.579::0.460))
-            (SETUP CLK (posedge CLK) (0.214::0.147))
-            (HOLD CLK (posedge CLK) (0.507::0.411))
-            (SETUP CLK (posedge CLK) (0.248::0.208))
-            (HOLD WA5 (posedge CLK) (0.332::0.314))
-            (SETUP WA5 (posedge CLK) (0.236::0.245))
-            (HOLD CLK (posedge CLK) (0.213::0.244))
-            (SETUP CLK (posedge CLK) (0.302::0.362))
-            (HOLD WA7 (posedge CLK) (0.184::0.228))
-            (SETUP WA7 (posedge CLK) (0.496::0.616))
-            (HOLD WA8 (posedge CLK) (0.199::0.247))
-            (SETUP WA8 (posedge CLK) (0.511::0.633))
-            (HOLD WE (posedge CLK) (0.008::0.010))
-            (SETUP WE (posedge CLK) (0.527::0.654))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QH")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.314::0.389))
-            (REMOVAL SR (posedge CLK) (-0.292::-0.238))
-        )
-    )
-    (CELL
         (CELLTYPE "CARRY4_BX_LFF")
         (INSTANCE SLICEM)
         (DELAY
@@ -673,42 +590,87 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT_QL")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.314::0.389))
-            (REMOVAL SR (posedge CLK) (-0.285::-0.232))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.728::0.538))
-            (SETUP CLK (posedge CLK) (0.184::0.066))
-            (HOLD WA2 (posedge CLK) (0.745::0.572))
-            (SETUP WA2 (posedge CLK) (0.181::0.068))
-            (HOLD CLK (posedge CLK) (0.579::0.460))
-            (SETUP CLK (posedge CLK) (0.214::0.147))
-            (HOLD CLK (posedge CLK) (0.507::0.411))
-            (SETUP CLK (posedge CLK) (0.248::0.208))
-            (HOLD WA5 (posedge CLK) (0.332::0.314))
-            (SETUP WA5 (posedge CLK) (0.236::0.245))
-            (HOLD WE (posedge CLK) (0.008::0.010))
-            (SETUP WE (posedge CLK) (0.527::0.654))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF")
+        (CELLTYPE "CARRY4_CX")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK Q (0.118::0.147)(0.292::0.362))
+                (IOPATH DI2 CO2 (0.101::0.126)(0.295::0.366))
+                (IOPATH DI2 CO3 (0.119::0.148)(0.326::0.404))
+                (IOPATH DI2 O3 (0.129::0.161)(0.360::0.447))
             )
         )
-        (TIMINGCHECK
-            (HOLD CE (posedge CLK) (-0.007::-0.005))
-            (SETUP CE (posedge CLK) (0.088::0.109))
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LBOTH")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.108::0.134)(0.315::0.391))
+                (IOPATH DI2 O3 (0.132::0.164)(0.373::0.463))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.101::0.126)(0.293::0.363))
+                (IOPATH DI2 O3 (0.129::0.161)(0.359::0.446))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_DX")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.117::0.146)(0.319::0.396))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LBOTH")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.084::0.111)(0.214::0.281))
+                (IOPATH CIN CO1 (0.051::0.063)(0.144::0.179))
+                (IOPATH CIN CO2 (0.075::0.094)(0.203::0.252))
+                (IOPATH CIN O0 (0.057::0.084)(0.157::0.232))
+                (IOPATH CIN O1 (0.097::0.121)(0.272::0.337))
+                (IOPATH CIN O2 (0.072::0.089)(0.206::0.256))
+                (IOPATH CIN O3 (0.094::0.116)(0.267::0.331))
+                (IOPATH CYINIT CO0 (0.184::0.229)(0.476::0.591))
+                (IOPATH CYINIT CO1 (0.156::0.194)(0.440::0.546))
+                (IOPATH CYINIT CO2 (0.185::0.230)(0.510::0.632))
+                (IOPATH CYINIT O0 (0.161::0.201)(0.419::0.520))
+                (IOPATH CYINIT O1 (0.191::0.238)(0.519::0.644))
+                (IOPATH CYINIT O2 (0.178::0.222)(0.495::0.614))
+                (IOPATH CYINIT O3 (0.201::0.251)(0.547::0.678))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.073::0.097)(0.190::0.250))
+                (IOPATH CIN CO1 (0.045::0.056)(0.125::0.155))
+                (IOPATH CIN CO2 (0.064::0.080)(0.184::0.228))
+                (IOPATH CIN O0 (0.053::0.079)(0.147::0.218))
+                (IOPATH CIN O1 (0.089::0.111)(0.260::0.323))
+                (IOPATH CIN O2 (0.066::0.082)(0.192::0.239))
+                (IOPATH CIN O3 (0.091::0.113)(0.253::0.314))
+                (IOPATH CYINIT CO0 (0.172::0.214)(0.450::0.558))
+                (IOPATH CYINIT CO1 (0.150::0.187)(0.409::0.508))
+                (IOPATH CYINIT CO2 (0.173::0.215)(0.489::0.606))
+                (IOPATH CYINIT O0 (0.158::0.197)(0.410::0.509))
+                (IOPATH CYINIT O1 (0.184::0.229)(0.509::0.631))
+                (IOPATH CYINIT O2 (0.172::0.214)(0.482::0.598))
+                (IOPATH CYINIT O3 (0.196::0.244)(0.533::0.662))
+            )
         )
     )
     (CELL
@@ -736,45 +698,112 @@
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LFF")
+        (CELLTYPE "FF_INIT")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.101::0.126)(0.293::0.363))
-                (IOPATH DI2 O3 (0.129::0.161)(0.359::0.446))
+                (IOPATH CLK Q (0.102::0.127)(0.259::0.322))
             )
         )
+        (TIMINGCHECK
+            (HOLD CE (posedge CLK) (-0.007::-0.005))
+            (SETUP CE (posedge CLK) (0.088::0.109))
+        )
     )
     (CELL
-        (CELLTYPE "CARRY4_LFF")
+        (CELLTYPE "FF_INIT_QH")
         (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.073::0.097)(0.190::0.250))
-                (IOPATH CIN CO1 (0.045::0.056)(0.125::0.155))
-                (IOPATH CIN CO2 (0.064::0.080)(0.184::0.228))
-                (IOPATH CIN O0 (0.053::0.079)(0.147::0.218))
-                (IOPATH CIN O1 (0.089::0.111)(0.260::0.323))
-                (IOPATH CIN O2 (0.066::0.082)(0.192::0.239))
-                (IOPATH CIN O3 (0.091::0.113)(0.253::0.314))
-                (IOPATH CYINIT CO0 (0.172::0.214)(0.450::0.558))
-                (IOPATH CYINIT CO1 (0.150::0.187)(0.409::0.508))
-                (IOPATH CYINIT CO2 (0.173::0.215)(0.489::0.606))
-                (IOPATH CYINIT O0 (0.158::0.197)(0.410::0.509))
-                (IOPATH CYINIT O1 (0.184::0.229)(0.509::0.631))
-                (IOPATH CYINIT O2 (0.172::0.214)(0.482::0.598))
-                (IOPATH CYINIT O3 (0.196::0.244)(0.533::0.662))
-            )
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.279::0.347))
+            (REMOVAL SR (posedge CLK) (-0.292::-0.238))
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_DX")
+        (CELLTYPE "FF_INIT_QL")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.314::0.389))
+            (REMOVAL SR (posedge CLK) (-0.285::-0.232))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5LRAM")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (HOLD WA1 (posedge CLK) (0.728::0.538))
+            (SETUP WA1 (posedge CLK) (0.184::0.066))
+            (HOLD WA2 (posedge CLK) (0.745::0.572))
+            (SETUP WA2 (posedge CLK) (0.181::0.068))
+            (HOLD WA3 (posedge CLK) (0.579::0.460))
+            (SETUP WA3 (posedge CLK) (0.214::0.147))
+            (HOLD WA4 (posedge CLK) (0.507::0.411))
+            (SETUP WA4 (posedge CLK) (0.248::0.208))
+            (HOLD WA5 (posedge CLK) (0.332::0.314))
+            (SETUP WA5 (posedge CLK) (0.236::0.245))
+            (HOLD CLK (posedge CLK) (0.008::0.010))
+            (SETUP CLK (posedge CLK) (0.527::0.654))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5SHFREG")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.011::0.014))
+            (SETUP CLK (posedge CLK) (0.514::0.638))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6LRAM")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (HOLD WA1 (posedge CLK) (0.728::0.538))
+            (SETUP WA1 (posedge CLK) (0.184::0.066))
+            (HOLD WA2 (posedge CLK) (0.745::0.572))
+            (SETUP WA2 (posedge CLK) (0.181::0.068))
+            (HOLD WA3 (posedge CLK) (0.579::0.460))
+            (SETUP WA3 (posedge CLK) (0.214::0.147))
+            (HOLD WA4 (posedge CLK) (0.507::0.411))
+            (SETUP WA4 (posedge CLK) (0.248::0.208))
+            (HOLD WA5 (posedge CLK) (0.332::0.314))
+            (SETUP WA5 (posedge CLK) (0.236::0.245))
+            (HOLD CLK (posedge CLK) (0.213::0.244))
+            (SETUP CLK (posedge CLK) (0.302::0.362))
+            (HOLD WA7 (posedge CLK) (0.184::0.228))
+            (SETUP WA7 (posedge CLK) (0.496::0.616))
+            (HOLD CLK (posedge CLK) (0.199::0.247))
+            (SETUP CLK (posedge CLK) (0.511::0.633))
+            (HOLD CLK (posedge CLK) (0.008::0.010))
+            (SETUP CLK (posedge CLK) (0.527::0.654))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6SHFREG")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.011::0.014))
+            (SETUP CLK (posedge CLK) (0.514::0.638))
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI3 CO3 (0.117::0.146)(0.319::0.396))
+                (IOPATH CLK Q (0.118::0.147)(0.292::0.362))
             )
         )
+        (TIMINGCHECK
+            (HOLD CE (posedge CLK) (-0.007::-0.005))
+            (SETUP CE (posedge CLK) (0.088::0.109))
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF_QH")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.314::0.389))
+            (REMOVAL SR (posedge CLK) (-0.292::-0.238))
+        )
     )
     (CELL
         (CELLTYPE "REG_INIT_FF_QL")
@@ -785,45 +814,65 @@
         )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/C5LUT)
+        (CELLTYPE "REG_INIT_LAT")
+        (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.123::0.153))
-                (IOPATH A2 O5 (0.045::0.056)(0.123::0.153))
-                (IOPATH A3 O5 (0.043::0.053)(0.123::0.153))
-                (IOPATH A4 O5 (0.049::0.061)(0.123::0.153))
-                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
-                (IOPATH CLK O5 (0.344::0.428)(0.952::1.180))
+                (IOPATH CE Q (0.129::0.161)(0.415::0.514))
+                (IOPATH CLK Q (0.132::0.164)(0.378::0.469))
+                (IOPATH D Q (0.075::0.094)(0.214::0.265))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.104::0.129)(0.282::0.350))
+                (IOPATH SR Q (0.161::0.201)(0.645::0.800))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.104::0.129)(0.282::0.350))
+                (IOPATH SR Q (0.161::0.201)(0.645::0.800))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5LRAM")
+        (INSTANCE SLICEM/A5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.121::0.150))
+                (IOPATH A2 O5 (0.044::0.055)(0.118::0.146))
+                (IOPATH A3 O5 (0.043::0.053)(0.120::0.148))
+                (IOPATH A4 O5 (0.046::0.057)(0.121::0.150))
+                (IOPATH A5 O5 (0.048::0.060)(0.093::0.116))
+                (IOPATH CLK O5 (0.446::0.555)(0.958::1.188))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.152::0.189))
-            (SETUP CLK (posedge CLK) (0.243::0.301))
+            (HOLD CLK (posedge CLK) (0.155::0.192))
+            (SETUP CLK (posedge CLK) (0.231::0.287))
         )
     )
     (CELL
         (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/C5LUT)
+        (INSTANCE SLICEM/A5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK O5 (0.442::0.550)(1.178::1.462))
+                (IOPATH CLK O5 (0.442::0.550)(1.177::1.461))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.058::0.072))
-            (SETUP CLK (posedge CLK) (0.117::0.145))
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEM/F7AMUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.053::0.067)(0.152::0.189))
-                (IOPATH 1 OUT (0.054::0.068)(0.153::0.190))
-                (IOPATH S0 OUT (0.090::0.112)(0.235::0.292))
-            )
+            (HOLD CLK (posedge CLK) (0.075::0.093))
+            (SETUP CLK (posedge CLK) (0.137::0.170))
         )
     )
     (CELL
@@ -864,71 +913,102 @@
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEM/F8MUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.022::0.027)(0.079::0.098))
-                (IOPATH 1 OUT (0.019::0.024)(0.071::0.088))
-                (IOPATH S0 OUT (0.081::0.101)(0.228::0.283))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/D5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
-                (IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
-                (IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
-                (IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
-                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/C5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
-                (IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
-                (IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
-                (IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
-                (IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/D5LUT)
+        (INSTANCE SLICEM/B5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O5 (0.047::0.058)(0.126::0.156))
-                (IOPATH A2 O5 (0.046::0.057)(0.127::0.157))
-                (IOPATH A3 O5 (0.044::0.055)(0.121::0.150))
-                (IOPATH A4 O5 (0.048::0.059)(0.120::0.149))
-                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
-                (IOPATH CLK O5 (0.352::0.438)(0.960::1.190))
+                (IOPATH A1 O5 (0.045::0.056)(0.122::0.152))
+                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
+                (IOPATH A3 O5 (0.043::0.053)(0.121::0.150))
+                (IOPATH A4 O5 (0.047::0.058)(0.121::0.150))
+                (IOPATH A5 O5 (0.048::0.060)(0.094::0.117))
+                (IOPATH CLK O5 (0.348::0.434)(0.957::1.187))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.153::0.190))
-            (SETUP CLK (posedge CLK) (0.111::0.137))
+            (HOLD CLK (posedge CLK) (0.154::0.191))
+            (SETUP CLK (posedge CLK) (0.250::0.311))
         )
     )
     (CELL
         (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/D5LUT)
+        (INSTANCE SLICEM/B5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK O5 (0.441::0.549)(1.194::1.481))
+                (IOPATH CLK O5 (0.439::0.547)(1.190::1.476))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.217::0.269))
-            (SETUP CLK (posedge CLK) (-0.040::-0.033))
+            (HOLD CLK (posedge CLK) (0.070::0.087))
+            (SETUP CLK (posedge CLK) (0.126::0.156))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6LRAM")
+        (INSTANCE SLICEM/B6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH CLK O6 (0.342::0.425)(0.936::1.161))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.154::0.191))
+            (SETUP CLK (posedge CLK) (0.372::0.461))
+            (HOLD DI2 (posedge CLK) (0.107::0.133))
+            (SETUP DI2 (posedge CLK) (0.286::0.354))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6SHFREG")
+        (INSTANCE SLICEM/B6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK MC31 (0.286::0.356)(0.789::0.979))
+                (IOPATH CLK O6 (0.438::0.545)(1.176::1.458))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.070::0.087))
+            (SETUP CLK (posedge CLK) (0.126::0.156))
+            (HOLD DI2 (posedge CLK) (0.089::0.110))
+            (SETUP DI2 (posedge CLK) (0.143::0.178))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5LRAM")
+        (INSTANCE SLICEM/C5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.123::0.153))
+                (IOPATH A2 O5 (0.045::0.056)(0.123::0.153))
+                (IOPATH A3 O5 (0.043::0.053)(0.123::0.153))
+                (IOPATH A4 O5 (0.049::0.061)(0.123::0.153))
+                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
+                (IOPATH CLK O5 (0.344::0.428)(0.952::1.180))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.152::0.189))
+            (SETUP CLK (posedge CLK) (0.243::0.301))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5SHFREG")
+        (INSTANCE SLICEM/C5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O5 (0.442::0.550)(1.178::1.462))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.058::0.072))
+            (SETUP CLK (posedge CLK) (0.117::0.145))
         )
     )
     (CELL
@@ -969,83 +1049,34 @@
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F8MUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
-                (IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
-                (IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/A5LUT)
+        (INSTANCE SLICEM/D5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.121::0.150))
-                (IOPATH A2 O5 (0.044::0.055)(0.118::0.146))
-                (IOPATH A3 O5 (0.043::0.053)(0.120::0.148))
-                (IOPATH A4 O5 (0.046::0.057)(0.121::0.150))
-                (IOPATH A5 O5 (0.048::0.060)(0.093::0.116))
-                (IOPATH CLK O5 (0.446::0.555)(0.958::1.188))
+                (IOPATH A1 O5 (0.047::0.058)(0.126::0.156))
+                (IOPATH A2 O5 (0.046::0.057)(0.127::0.157))
+                (IOPATH A3 O5 (0.044::0.055)(0.121::0.150))
+                (IOPATH A4 O5 (0.048::0.059)(0.120::0.149))
+                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
+                (IOPATH CLK O5 (0.352::0.438)(0.960::1.190))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.155::0.192))
-            (SETUP CLK (posedge CLK) (0.231::0.287))
+            (HOLD CLK (posedge CLK) (0.153::0.190))
+            (SETUP CLK (posedge CLK) (0.111::0.137))
         )
     )
     (CELL
         (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/A5LUT)
+        (INSTANCE SLICEM/D5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK O5 (0.442::0.550)(1.177::1.461))
+                (IOPATH CLK O5 (0.441::0.549)(1.194::1.481))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.075::0.093))
-            (SETUP CLK (posedge CLK) (0.137::0.170))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/A5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.122::0.152))
-                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
-                (IOPATH A3 O5 (0.042::0.052)(0.121::0.150))
-                (IOPATH A4 O5 (0.046::0.057)(0.121::0.150))
-                (IOPATH A5 O5 (0.048::0.060)(0.095::0.118))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEM/F7BMUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/B6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
-            )
+            (HOLD CLK (posedge CLK) (0.217::0.269))
+            (SETUP CLK (posedge CLK) (-0.040::-0.033))
         )
     )
     (CELL
@@ -1086,67 +1117,36 @@
         )
     )
     (CELL
-        (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/A6LUT)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEM/F7AMUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH 0 OUT (0.053::0.067)(0.152::0.189))
+                (IOPATH 1 OUT (0.054::0.068)(0.153::0.190))
+                (IOPATH S0 OUT (0.090::0.112)(0.235::0.292))
             )
         )
     )
     (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/B5LUT)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEM/F7BMUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O5 (0.045::0.056)(0.122::0.152))
-                (IOPATH A2 O5 (0.043::0.054)(0.122::0.152))
-                (IOPATH A3 O5 (0.043::0.053)(0.122::0.152))
-                (IOPATH A4 O5 (0.045::0.056)(0.121::0.150))
-                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
+                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
             )
         )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM6LRAM")
-        (INSTANCE SLICEM/B6LUT)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEM/F8MUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH CLK O6 (0.342::0.425)(0.936::1.161))
+                (IOPATH 0 OUT (0.022::0.027)(0.079::0.098))
+                (IOPATH 1 OUT (0.019::0.024)(0.071::0.088))
+                (IOPATH S0 OUT (0.081::0.101)(0.228::0.283))
             )
         )
-        (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.154::0.191))
-            (SETUP CLK (posedge CLK) (0.372::0.461))
-            (HOLD DI2 (posedge CLK) (0.107::0.133))
-            (SETUP DI2 (posedge CLK) (0.286::0.354))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6SHFREG")
-        (INSTANCE SLICEM/B6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK MC31 (0.286::0.356)(0.789::0.979))
-                (IOPATH CLK O6 (0.438::0.545)(1.176::1.458))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.070::0.087))
-            (SETUP CLK (posedge CLK) (0.126::0.156))
-            (HOLD DI2 (posedge CLK) (0.089::0.110))
-            (SETUP DI2 (posedge CLK) (0.143::0.178))
-        )
     )
 )
\ No newline at end of file
diff --git a/artix7/timings/CLBLM_R.sdf b/artix7/timings/CLBLM_R.sdf
index 4334498..f4d868d 100644
--- a/artix7/timings/CLBLM_R.sdf
+++ b/artix7/timings/CLBLM_R.sdf
@@ -4,105 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "CARRY4_AX_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
-                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
-                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
-                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-                (IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
-                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
-                (IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
-                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
-                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
-                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
-                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LBOTH")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
-                (IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
-                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
-                (IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
-                (IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
-                (IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
-                (IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
-                (IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
-                (IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
-                (IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
-                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
-                (IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
-                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
-                (IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LBOTH")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
-                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
-                (IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
-                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
-                (IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
-                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_BX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
-                (IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
-                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
-                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
-                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "CARRY4")
         (INSTANCE SLICEL)
         (DELAY
@@ -147,22 +48,58 @@
         )
     )
     (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (CELLTYPE "CARRY4_AX")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
+                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
+                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
+                (IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
+                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
+                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
+                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LBOTH")
+        (CELLTYPE "CARRY4_AX_LBOTH")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
-                (IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
+                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
+                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
+                (IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
+                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
+                (IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
+                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
+                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
+                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
+                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
+                (IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_BX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
+                (IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
+                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
+                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
+                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
             )
         )
     )
@@ -179,46 +116,6 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD CE (posedge CLK) (-0.011::-0.009))
-            (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.288::0.358))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CE Q (0.130::0.162)(0.409::0.507))
-                (IOPATH CLK Q (0.129::0.160)(0.357::0.443))
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.288::0.358))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
         (CELLTYPE "CARRY4_BX_LFF")
         (INSTANCE SLICEL)
         (DELAY
@@ -231,11 +128,87 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT_QL")
+        (CELLTYPE "CARRY4_CX")
         (INSTANCE SLICEL)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.326::0.404))
-            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
+                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
+                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LBOTH")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
+                (IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
+                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_DX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LBOTH")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
+                (IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
+                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
+                (IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
+                (IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
+                (IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
+                (IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
+                (IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
+                (IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
+                (IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
+                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
+                (IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
+                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
+                (IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
+                (IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
+                (IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
+                (IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
+                (IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
+                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
+                (IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
+                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
+                (IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
+                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
+                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
+                (IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
+                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
+                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
+            )
         )
     )
     (CELL
@@ -263,6 +236,35 @@
         )
     )
     (CELL
+        (CELLTYPE "FF_INIT")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CE (posedge CLK) (-0.011::-0.009))
+            (SETUP CE (posedge CLK) (0.088::0.109))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QH")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.288::0.358))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QL")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.326::0.404))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+    )
+    (CELL
         (CELLTYPE "REG_INIT_FF")
         (INSTANCE SLICEL)
         (DELAY
@@ -276,44 +278,11 @@
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LFF")
+        (CELLTYPE "REG_INIT_FF_QH")
         (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
-                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
-                (IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
-                (IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
-                (IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
-                (IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
-                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
-                (IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
-                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
-                (IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
-                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
-                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
-                (IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
-                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
-                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_DX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
-            )
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.288::0.358))
+            (REMOVAL SR (posedge CLK) (-0.305::-0.248))
         )
     )
     (CELL
@@ -325,45 +294,101 @@
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F7AMUX)
+        (CELLTYPE "REG_INIT_LAT")
+        (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
-                (IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
-                (IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
+                (IOPATH CE Q (0.130::0.162)(0.409::0.507))
+                (IOPATH CLK Q (0.129::0.160)(0.357::0.443))
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
             )
         )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/B5LUT)
+        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/A5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.122::0.152))
+                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
+                (IOPATH A3 O5 (0.042::0.052)(0.121::0.150))
+                (IOPATH A4 O5 (0.046::0.057)(0.121::0.150))
+                (IOPATH A5 O5 (0.048::0.060)(0.095::0.118))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/A6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/B5LUT)
         (DELAY
             (ABSOLUTE
                 (IOPATH A1 O5 (0.045::0.056)(0.122::0.152))
-                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
-                (IOPATH A3 O5 (0.043::0.053)(0.121::0.150))
-                (IOPATH A4 O5 (0.047::0.058)(0.121::0.150))
-                (IOPATH A5 O5 (0.048::0.060)(0.094::0.117))
-                (IOPATH CLK O5 (0.348::0.434)(0.957::1.187))
+                (IOPATH A2 O5 (0.043::0.054)(0.122::0.152))
+                (IOPATH A3 O5 (0.043::0.053)(0.122::0.152))
+                (IOPATH A4 O5 (0.045::0.056)(0.121::0.150))
+                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
             )
         )
-        (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.154::0.191))
-            (SETUP CLK (posedge CLK) (0.250::0.311))
-        )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/B5LUT)
+        (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/B6LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK O5 (0.439::0.547)(1.190::1.476))
+                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
             )
         )
-        (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.070::0.087))
-            (SETUP CLK (posedge CLK) (0.126::0.156))
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/C5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
+                (IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
+                (IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
+                (IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
+                (IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
+            )
         )
     )
     (CELL
@@ -381,13 +406,15 @@
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F7BMUX)
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/D5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
-                (IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
-                (IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
+                (IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
+                (IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
+                (IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
+                (IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
+                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
             )
         )
     )
@@ -406,128 +433,35 @@
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_AX_LFF")
-        (INSTANCE SLICEM)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F7AMUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO0 (0.117::0.146)(0.311::0.386))
-                (IOPATH DI0 CO1 (0.141::0.176)(0.391::0.485))
-                (IOPATH DI0 CO2 (0.165::0.206)(0.452::0.560))
-                (IOPATH DI0 O1 (0.129::0.160)(0.339::0.421))
-                (IOPATH DI0 O2 (0.165::0.205)(0.467::0.579))
-                (IOPATH DI0 O3 (0.186::0.232)(0.518::0.642))
+                (IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
+                (IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
+                (IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
             )
         )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM6SHFREG")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (HOLD WE (posedge CLK) (0.011::0.014))
-            (SETUP WE (posedge CLK) (0.514::0.638))
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX")
-        (INSTANCE SLICEM)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F7BMUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO0 (0.117::0.146)(0.317::0.393))
-                (IOPATH DI0 CO1 (0.141::0.176)(0.394::0.488))
-                (IOPATH DI0 CO2 (0.167::0.208)(0.454::0.564))
-                (IOPATH DI0 CO3 (0.169::0.210)(0.444::0.550))
-                (IOPATH DI0 O1 (0.129::0.161)(0.340::0.422))
-                (IOPATH DI0 O2 (0.164::0.204)(0.467::0.579))
-                (IOPATH DI0 O3 (0.187::0.233)(0.518::0.642))
+                (IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
+                (IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
+                (IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX")
-        (INSTANCE SLICEM)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F8MUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.101::0.126)(0.295::0.366))
-                (IOPATH DI2 CO3 (0.119::0.148)(0.326::0.404))
-                (IOPATH DI2 O3 (0.129::0.161)(0.360::0.447))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CE Q (0.129::0.161)(0.415::0.514))
-                (IOPATH CLK Q (0.132::0.164)(0.378::0.469))
-                (IOPATH D Q (0.075::0.094)(0.214::0.265))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LBOTH")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.084::0.111)(0.214::0.281))
-                (IOPATH CIN CO1 (0.051::0.063)(0.144::0.179))
-                (IOPATH CIN CO2 (0.075::0.094)(0.203::0.252))
-                (IOPATH CIN O0 (0.057::0.084)(0.157::0.232))
-                (IOPATH CIN O1 (0.097::0.121)(0.272::0.337))
-                (IOPATH CIN O2 (0.072::0.089)(0.206::0.256))
-                (IOPATH CIN O3 (0.094::0.116)(0.267::0.331))
-                (IOPATH CYINIT CO0 (0.184::0.229)(0.476::0.591))
-                (IOPATH CYINIT CO1 (0.156::0.194)(0.440::0.546))
-                (IOPATH CYINIT CO2 (0.185::0.230)(0.510::0.632))
-                (IOPATH CYINIT O0 (0.161::0.201)(0.419::0.520))
-                (IOPATH CYINIT O1 (0.191::0.238)(0.519::0.644))
-                (IOPATH CYINIT O2 (0.178::0.222)(0.495::0.614))
-                (IOPATH CYINIT O3 (0.201::0.251)(0.547::0.678))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LBOTH")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.127::0.158)(0.353::0.438))
-                (IOPATH DI0 CO1 (0.149::0.185)(0.412::0.511))
-                (IOPATH DI0 CO2 (0.177::0.220)(0.475::0.590))
-                (IOPATH DI0 O1 (0.136::0.170)(0.351::0.436))
-                (IOPATH DI0 O2 (0.168::0.209)(0.481::0.596))
-                (IOPATH DI0 O3 (0.191::0.238)(0.532::0.659))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH D Q (0.104::0.129)(0.282::0.350))
-                (IOPATH SR Q (0.161::0.201)(0.645::0.800))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (HOLD WE (posedge CLK) (0.011::0.014))
-            (SETUP WE (posedge CLK) (0.514::0.638))
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_BX")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.125::0.156)(0.367::0.456))
-                (IOPATH DI1 CO2 (0.151::0.188)(0.430::0.533))
-                (IOPATH DI1 CO3 (0.150::0.187)(0.419::0.520))
-                (IOPATH DI1 O2 (0.149::0.185)(0.441::0.547))
-                (IOPATH DI1 O3 (0.170::0.212)(0.492::0.610))
+                (IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
+                (IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
+                (IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
             )
         )
     )
@@ -576,22 +510,58 @@
         )
     )
     (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (CELLTYPE "CARRY4_AX")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH D Q (0.104::0.129)(0.282::0.350))
-                (IOPATH SR Q (0.161::0.201)(0.645::0.800))
+                (IOPATH DI0 CO0 (0.117::0.146)(0.317::0.393))
+                (IOPATH DI0 CO1 (0.141::0.176)(0.394::0.488))
+                (IOPATH DI0 CO2 (0.167::0.208)(0.454::0.564))
+                (IOPATH DI0 CO3 (0.169::0.210)(0.444::0.550))
+                (IOPATH DI0 O1 (0.129::0.161)(0.340::0.422))
+                (IOPATH DI0 O2 (0.164::0.204)(0.467::0.579))
+                (IOPATH DI0 O3 (0.187::0.233)(0.518::0.642))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LBOTH")
+        (CELLTYPE "CARRY4_AX_LBOTH")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.108::0.134)(0.315::0.391))
-                (IOPATH DI2 O3 (0.132::0.164)(0.373::0.463))
+                (IOPATH DI0 CO0 (0.127::0.158)(0.353::0.438))
+                (IOPATH DI0 CO1 (0.149::0.185)(0.412::0.511))
+                (IOPATH DI0 CO2 (0.177::0.220)(0.475::0.590))
+                (IOPATH DI0 O1 (0.136::0.170)(0.351::0.436))
+                (IOPATH DI0 O2 (0.168::0.209)(0.481::0.596))
+                (IOPATH DI0 O3 (0.191::0.238)(0.532::0.659))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.117::0.146)(0.311::0.386))
+                (IOPATH DI0 CO1 (0.141::0.176)(0.391::0.485))
+                (IOPATH DI0 CO2 (0.165::0.206)(0.452::0.560))
+                (IOPATH DI0 O1 (0.129::0.160)(0.339::0.421))
+                (IOPATH DI0 O2 (0.165::0.205)(0.467::0.579))
+                (IOPATH DI0 O3 (0.186::0.232)(0.518::0.642))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_BX")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.125::0.156)(0.367::0.456))
+                (IOPATH DI1 CO2 (0.151::0.188)(0.430::0.533))
+                (IOPATH DI1 CO3 (0.150::0.187)(0.419::0.520))
+                (IOPATH DI1 O2 (0.149::0.185)(0.441::0.547))
+                (IOPATH DI1 O3 (0.170::0.212)(0.492::0.610))
             )
         )
     )
@@ -608,59 +578,6 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.102::0.127)(0.259::0.322))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD CE (posedge CLK) (-0.007::-0.005))
-            (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QH")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.279::0.347))
-            (REMOVAL SR (posedge CLK) (-0.292::-0.238))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6LRAM")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (HOLD WA1 (posedge CLK) (0.728::0.538))
-            (SETUP WA1 (posedge CLK) (0.184::0.066))
-            (HOLD WA2 (posedge CLK) (0.745::0.572))
-            (SETUP WA2 (posedge CLK) (0.181::0.068))
-            (HOLD CLK (posedge CLK) (0.579::0.460))
-            (SETUP CLK (posedge CLK) (0.214::0.147))
-            (HOLD CLK (posedge CLK) (0.507::0.411))
-            (SETUP CLK (posedge CLK) (0.248::0.208))
-            (HOLD WA5 (posedge CLK) (0.332::0.314))
-            (SETUP WA5 (posedge CLK) (0.236::0.245))
-            (HOLD CLK (posedge CLK) (0.213::0.244))
-            (SETUP CLK (posedge CLK) (0.302::0.362))
-            (HOLD WA7 (posedge CLK) (0.184::0.228))
-            (SETUP WA7 (posedge CLK) (0.496::0.616))
-            (HOLD WA8 (posedge CLK) (0.199::0.247))
-            (SETUP WA8 (posedge CLK) (0.511::0.633))
-            (HOLD WE (posedge CLK) (0.008::0.010))
-            (SETUP WE (posedge CLK) (0.527::0.654))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QH")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.314::0.389))
-            (REMOVAL SR (posedge CLK) (-0.292::-0.238))
-        )
-    )
-    (CELL
         (CELLTYPE "CARRY4_BX_LFF")
         (INSTANCE SLICEM)
         (DELAY
@@ -673,42 +590,87 @@
         )
     )
     (CELL
-        (CELLTYPE "FF_INIT_QL")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (RECOVERY SR (posedge CLK) (0.314::0.389))
-            (REMOVAL SR (posedge CLK) (-0.285::-0.232))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.728::0.538))
-            (SETUP CLK (posedge CLK) (0.184::0.066))
-            (HOLD WA2 (posedge CLK) (0.745::0.572))
-            (SETUP WA2 (posedge CLK) (0.181::0.068))
-            (HOLD CLK (posedge CLK) (0.579::0.460))
-            (SETUP CLK (posedge CLK) (0.214::0.147))
-            (HOLD CLK (posedge CLK) (0.507::0.411))
-            (SETUP CLK (posedge CLK) (0.248::0.208))
-            (HOLD WA5 (posedge CLK) (0.332::0.314))
-            (SETUP WA5 (posedge CLK) (0.236::0.245))
-            (HOLD WE (posedge CLK) (0.008::0.010))
-            (SETUP WE (posedge CLK) (0.527::0.654))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF")
+        (CELLTYPE "CARRY4_CX")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK Q (0.118::0.147)(0.292::0.362))
+                (IOPATH DI2 CO2 (0.101::0.126)(0.295::0.366))
+                (IOPATH DI2 CO3 (0.119::0.148)(0.326::0.404))
+                (IOPATH DI2 O3 (0.129::0.161)(0.360::0.447))
             )
         )
-        (TIMINGCHECK
-            (HOLD CE (posedge CLK) (-0.007::-0.005))
-            (SETUP CE (posedge CLK) (0.088::0.109))
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LBOTH")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.108::0.134)(0.315::0.391))
+                (IOPATH DI2 O3 (0.132::0.164)(0.373::0.463))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.101::0.126)(0.293::0.363))
+                (IOPATH DI2 O3 (0.129::0.161)(0.359::0.446))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_DX")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.117::0.146)(0.319::0.396))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LBOTH")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.084::0.111)(0.214::0.281))
+                (IOPATH CIN CO1 (0.051::0.063)(0.144::0.179))
+                (IOPATH CIN CO2 (0.075::0.094)(0.203::0.252))
+                (IOPATH CIN O0 (0.057::0.084)(0.157::0.232))
+                (IOPATH CIN O1 (0.097::0.121)(0.272::0.337))
+                (IOPATH CIN O2 (0.072::0.089)(0.206::0.256))
+                (IOPATH CIN O3 (0.094::0.116)(0.267::0.331))
+                (IOPATH CYINIT CO0 (0.184::0.229)(0.476::0.591))
+                (IOPATH CYINIT CO1 (0.156::0.194)(0.440::0.546))
+                (IOPATH CYINIT CO2 (0.185::0.230)(0.510::0.632))
+                (IOPATH CYINIT O0 (0.161::0.201)(0.419::0.520))
+                (IOPATH CYINIT O1 (0.191::0.238)(0.519::0.644))
+                (IOPATH CYINIT O2 (0.178::0.222)(0.495::0.614))
+                (IOPATH CYINIT O3 (0.201::0.251)(0.547::0.678))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.073::0.097)(0.190::0.250))
+                (IOPATH CIN CO1 (0.045::0.056)(0.125::0.155))
+                (IOPATH CIN CO2 (0.064::0.080)(0.184::0.228))
+                (IOPATH CIN O0 (0.053::0.079)(0.147::0.218))
+                (IOPATH CIN O1 (0.089::0.111)(0.260::0.323))
+                (IOPATH CIN O2 (0.066::0.082)(0.192::0.239))
+                (IOPATH CIN O3 (0.091::0.113)(0.253::0.314))
+                (IOPATH CYINIT CO0 (0.172::0.214)(0.450::0.558))
+                (IOPATH CYINIT CO1 (0.150::0.187)(0.409::0.508))
+                (IOPATH CYINIT CO2 (0.173::0.215)(0.489::0.606))
+                (IOPATH CYINIT O0 (0.158::0.197)(0.410::0.509))
+                (IOPATH CYINIT O1 (0.184::0.229)(0.509::0.631))
+                (IOPATH CYINIT O2 (0.172::0.214)(0.482::0.598))
+                (IOPATH CYINIT O3 (0.196::0.244)(0.533::0.662))
+            )
         )
     )
     (CELL
@@ -736,45 +698,112 @@
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LFF")
+        (CELLTYPE "FF_INIT")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.101::0.126)(0.293::0.363))
-                (IOPATH DI2 O3 (0.129::0.161)(0.359::0.446))
+                (IOPATH CLK Q (0.102::0.127)(0.259::0.322))
             )
         )
+        (TIMINGCHECK
+            (HOLD CE (posedge CLK) (-0.007::-0.005))
+            (SETUP CE (posedge CLK) (0.088::0.109))
+        )
     )
     (CELL
-        (CELLTYPE "CARRY4_LFF")
+        (CELLTYPE "FF_INIT_QH")
         (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.073::0.097)(0.190::0.250))
-                (IOPATH CIN CO1 (0.045::0.056)(0.125::0.155))
-                (IOPATH CIN CO2 (0.064::0.080)(0.184::0.228))
-                (IOPATH CIN O0 (0.053::0.079)(0.147::0.218))
-                (IOPATH CIN O1 (0.089::0.111)(0.260::0.323))
-                (IOPATH CIN O2 (0.066::0.082)(0.192::0.239))
-                (IOPATH CIN O3 (0.091::0.113)(0.253::0.314))
-                (IOPATH CYINIT CO0 (0.172::0.214)(0.450::0.558))
-                (IOPATH CYINIT CO1 (0.150::0.187)(0.409::0.508))
-                (IOPATH CYINIT CO2 (0.173::0.215)(0.489::0.606))
-                (IOPATH CYINIT O0 (0.158::0.197)(0.410::0.509))
-                (IOPATH CYINIT O1 (0.184::0.229)(0.509::0.631))
-                (IOPATH CYINIT O2 (0.172::0.214)(0.482::0.598))
-                (IOPATH CYINIT O3 (0.196::0.244)(0.533::0.662))
-            )
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.279::0.347))
+            (REMOVAL SR (posedge CLK) (-0.292::-0.238))
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_DX")
+        (CELLTYPE "FF_INIT_QL")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.314::0.389))
+            (REMOVAL SR (posedge CLK) (-0.285::-0.232))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5LRAM")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (HOLD WA1 (posedge CLK) (0.728::0.538))
+            (SETUP WA1 (posedge CLK) (0.184::0.066))
+            (HOLD WA2 (posedge CLK) (0.745::0.572))
+            (SETUP WA2 (posedge CLK) (0.181::0.068))
+            (HOLD WA3 (posedge CLK) (0.579::0.460))
+            (SETUP WA3 (posedge CLK) (0.214::0.147))
+            (HOLD WA4 (posedge CLK) (0.507::0.411))
+            (SETUP WA4 (posedge CLK) (0.248::0.208))
+            (HOLD WA5 (posedge CLK) (0.332::0.314))
+            (SETUP WA5 (posedge CLK) (0.236::0.245))
+            (HOLD CLK (posedge CLK) (0.008::0.010))
+            (SETUP CLK (posedge CLK) (0.527::0.654))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5SHFREG")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.011::0.014))
+            (SETUP CLK (posedge CLK) (0.514::0.638))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6LRAM")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (HOLD WA1 (posedge CLK) (0.728::0.538))
+            (SETUP WA1 (posedge CLK) (0.184::0.066))
+            (HOLD WA2 (posedge CLK) (0.745::0.572))
+            (SETUP WA2 (posedge CLK) (0.181::0.068))
+            (HOLD WA3 (posedge CLK) (0.579::0.460))
+            (SETUP WA3 (posedge CLK) (0.214::0.147))
+            (HOLD WA4 (posedge CLK) (0.507::0.411))
+            (SETUP WA4 (posedge CLK) (0.248::0.208))
+            (HOLD WA5 (posedge CLK) (0.332::0.314))
+            (SETUP WA5 (posedge CLK) (0.236::0.245))
+            (HOLD CLK (posedge CLK) (0.213::0.244))
+            (SETUP CLK (posedge CLK) (0.302::0.362))
+            (HOLD WA7 (posedge CLK) (0.184::0.228))
+            (SETUP WA7 (posedge CLK) (0.496::0.616))
+            (HOLD CLK (posedge CLK) (0.199::0.247))
+            (SETUP CLK (posedge CLK) (0.511::0.633))
+            (HOLD CLK (posedge CLK) (0.008::0.010))
+            (SETUP CLK (posedge CLK) (0.527::0.654))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6SHFREG")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.011::0.014))
+            (SETUP CLK (posedge CLK) (0.514::0.638))
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI3 CO3 (0.117::0.146)(0.319::0.396))
+                (IOPATH CLK Q (0.118::0.147)(0.292::0.362))
             )
         )
+        (TIMINGCHECK
+            (HOLD CE (posedge CLK) (-0.007::-0.005))
+            (SETUP CE (posedge CLK) (0.088::0.109))
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF_QH")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CLK) (0.314::0.389))
+            (REMOVAL SR (posedge CLK) (-0.292::-0.238))
+        )
     )
     (CELL
         (CELLTYPE "REG_INIT_FF_QL")
@@ -785,45 +814,65 @@
         )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/C5LUT)
+        (CELLTYPE "REG_INIT_LAT")
+        (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.123::0.153))
-                (IOPATH A2 O5 (0.045::0.056)(0.123::0.153))
-                (IOPATH A3 O5 (0.043::0.053)(0.123::0.153))
-                (IOPATH A4 O5 (0.049::0.061)(0.123::0.153))
-                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
-                (IOPATH CLK O5 (0.344::0.428)(0.952::1.180))
+                (IOPATH CE Q (0.129::0.161)(0.415::0.514))
+                (IOPATH CLK Q (0.132::0.164)(0.378::0.469))
+                (IOPATH D Q (0.075::0.094)(0.214::0.265))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.104::0.129)(0.282::0.350))
+                (IOPATH SR Q (0.161::0.201)(0.645::0.800))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.104::0.129)(0.282::0.350))
+                (IOPATH SR Q (0.161::0.201)(0.645::0.800))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5LRAM")
+        (INSTANCE SLICEM/A5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.121::0.150))
+                (IOPATH A2 O5 (0.044::0.055)(0.118::0.146))
+                (IOPATH A3 O5 (0.043::0.053)(0.120::0.148))
+                (IOPATH A4 O5 (0.046::0.057)(0.121::0.150))
+                (IOPATH A5 O5 (0.048::0.060)(0.093::0.116))
+                (IOPATH CLK O5 (0.446::0.555)(0.958::1.188))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.152::0.189))
-            (SETUP CLK (posedge CLK) (0.243::0.301))
+            (HOLD CLK (posedge CLK) (0.155::0.192))
+            (SETUP CLK (posedge CLK) (0.231::0.287))
         )
     )
     (CELL
         (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/C5LUT)
+        (INSTANCE SLICEM/A5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK O5 (0.442::0.550)(1.178::1.462))
+                (IOPATH CLK O5 (0.442::0.550)(1.177::1.461))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.058::0.072))
-            (SETUP CLK (posedge CLK) (0.117::0.145))
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEM/F7AMUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.053::0.067)(0.152::0.189))
-                (IOPATH 1 OUT (0.054::0.068)(0.153::0.190))
-                (IOPATH S0 OUT (0.090::0.112)(0.235::0.292))
-            )
+            (HOLD CLK (posedge CLK) (0.075::0.093))
+            (SETUP CLK (posedge CLK) (0.137::0.170))
         )
     )
     (CELL
@@ -864,71 +913,102 @@
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEM/F8MUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.022::0.027)(0.079::0.098))
-                (IOPATH 1 OUT (0.019::0.024)(0.071::0.088))
-                (IOPATH S0 OUT (0.081::0.101)(0.228::0.283))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/D5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
-                (IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
-                (IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
-                (IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
-                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/C5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
-                (IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
-                (IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
-                (IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
-                (IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/D5LUT)
+        (INSTANCE SLICEM/B5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O5 (0.047::0.058)(0.126::0.156))
-                (IOPATH A2 O5 (0.046::0.057)(0.127::0.157))
-                (IOPATH A3 O5 (0.044::0.055)(0.121::0.150))
-                (IOPATH A4 O5 (0.048::0.059)(0.120::0.149))
-                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
-                (IOPATH CLK O5 (0.352::0.438)(0.960::1.190))
+                (IOPATH A1 O5 (0.045::0.056)(0.122::0.152))
+                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
+                (IOPATH A3 O5 (0.043::0.053)(0.121::0.150))
+                (IOPATH A4 O5 (0.047::0.058)(0.121::0.150))
+                (IOPATH A5 O5 (0.048::0.060)(0.094::0.117))
+                (IOPATH CLK O5 (0.348::0.434)(0.957::1.187))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.153::0.190))
-            (SETUP CLK (posedge CLK) (0.111::0.137))
+            (HOLD CLK (posedge CLK) (0.154::0.191))
+            (SETUP CLK (posedge CLK) (0.250::0.311))
         )
     )
     (CELL
         (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/D5LUT)
+        (INSTANCE SLICEM/B5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK O5 (0.441::0.549)(1.194::1.481))
+                (IOPATH CLK O5 (0.439::0.547)(1.190::1.476))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.217::0.269))
-            (SETUP CLK (posedge CLK) (-0.040::-0.033))
+            (HOLD CLK (posedge CLK) (0.070::0.087))
+            (SETUP CLK (posedge CLK) (0.126::0.156))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6LRAM")
+        (INSTANCE SLICEM/B6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH CLK O6 (0.342::0.425)(0.936::1.161))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.154::0.191))
+            (SETUP CLK (posedge CLK) (0.372::0.461))
+            (HOLD DI2 (posedge CLK) (0.107::0.133))
+            (SETUP DI2 (posedge CLK) (0.286::0.354))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6SHFREG")
+        (INSTANCE SLICEM/B6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK MC31 (0.286::0.356)(0.789::0.979))
+                (IOPATH CLK O6 (0.438::0.545)(1.176::1.458))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.070::0.087))
+            (SETUP CLK (posedge CLK) (0.126::0.156))
+            (HOLD DI2 (posedge CLK) (0.089::0.110))
+            (SETUP DI2 (posedge CLK) (0.143::0.178))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5LRAM")
+        (INSTANCE SLICEM/C5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.123::0.153))
+                (IOPATH A2 O5 (0.045::0.056)(0.123::0.153))
+                (IOPATH A3 O5 (0.043::0.053)(0.123::0.153))
+                (IOPATH A4 O5 (0.049::0.061)(0.123::0.153))
+                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
+                (IOPATH CLK O5 (0.344::0.428)(0.952::1.180))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.152::0.189))
+            (SETUP CLK (posedge CLK) (0.243::0.301))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5SHFREG")
+        (INSTANCE SLICEM/C5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O5 (0.442::0.550)(1.178::1.462))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD CLK (posedge CLK) (0.058::0.072))
+            (SETUP CLK (posedge CLK) (0.117::0.145))
         )
     )
     (CELL
@@ -969,83 +1049,34 @@
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F8MUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
-                (IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
-                (IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/A5LUT)
+        (INSTANCE SLICEM/D5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.121::0.150))
-                (IOPATH A2 O5 (0.044::0.055)(0.118::0.146))
-                (IOPATH A3 O5 (0.043::0.053)(0.120::0.148))
-                (IOPATH A4 O5 (0.046::0.057)(0.121::0.150))
-                (IOPATH A5 O5 (0.048::0.060)(0.093::0.116))
-                (IOPATH CLK O5 (0.446::0.555)(0.958::1.188))
+                (IOPATH A1 O5 (0.047::0.058)(0.126::0.156))
+                (IOPATH A2 O5 (0.046::0.057)(0.127::0.157))
+                (IOPATH A3 O5 (0.044::0.055)(0.121::0.150))
+                (IOPATH A4 O5 (0.048::0.059)(0.120::0.149))
+                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
+                (IOPATH CLK O5 (0.352::0.438)(0.960::1.190))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.155::0.192))
-            (SETUP CLK (posedge CLK) (0.231::0.287))
+            (HOLD CLK (posedge CLK) (0.153::0.190))
+            (SETUP CLK (posedge CLK) (0.111::0.137))
         )
     )
     (CELL
         (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/A5LUT)
+        (INSTANCE SLICEM/D5LUT)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK O5 (0.442::0.550)(1.177::1.461))
+                (IOPATH CLK O5 (0.441::0.549)(1.194::1.481))
             )
         )
         (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.075::0.093))
-            (SETUP CLK (posedge CLK) (0.137::0.170))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/A5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.122::0.152))
-                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
-                (IOPATH A3 O5 (0.042::0.052)(0.121::0.150))
-                (IOPATH A4 O5 (0.046::0.057)(0.121::0.150))
-                (IOPATH A5 O5 (0.048::0.060)(0.095::0.118))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEM/F7BMUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/B6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
-            )
+            (HOLD CLK (posedge CLK) (0.217::0.269))
+            (SETUP CLK (posedge CLK) (-0.040::-0.033))
         )
     )
     (CELL
@@ -1086,67 +1117,36 @@
         )
     )
     (CELL
-        (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/A6LUT)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEM/F7AMUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
+                (IOPATH 0 OUT (0.053::0.067)(0.152::0.189))
+                (IOPATH 1 OUT (0.054::0.068)(0.153::0.190))
+                (IOPATH S0 OUT (0.090::0.112)(0.235::0.292))
             )
         )
     )
     (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/B5LUT)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEM/F7BMUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O5 (0.045::0.056)(0.122::0.152))
-                (IOPATH A2 O5 (0.043::0.054)(0.122::0.152))
-                (IOPATH A3 O5 (0.043::0.053)(0.122::0.152))
-                (IOPATH A4 O5 (0.045::0.056)(0.121::0.150))
-                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
+                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
             )
         )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM6LRAM")
-        (INSTANCE SLICEM/B6LUT)
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEM/F8MUX)
         (DELAY
             (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
-                (IOPATH CLK O6 (0.342::0.425)(0.936::1.161))
+                (IOPATH 0 OUT (0.022::0.027)(0.079::0.098))
+                (IOPATH 1 OUT (0.019::0.024)(0.071::0.088))
+                (IOPATH S0 OUT (0.081::0.101)(0.228::0.283))
             )
         )
-        (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.154::0.191))
-            (SETUP CLK (posedge CLK) (0.372::0.461))
-            (HOLD DI2 (posedge CLK) (0.107::0.133))
-            (SETUP DI2 (posedge CLK) (0.286::0.354))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6SHFREG")
-        (INSTANCE SLICEM/B6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK MC31 (0.286::0.356)(0.789::0.979))
-                (IOPATH CLK O6 (0.438::0.545)(1.176::1.458))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD CLK (posedge CLK) (0.070::0.087))
-            (SETUP CLK (posedge CLK) (0.126::0.156))
-            (HOLD DI2 (posedge CLK) (0.089::0.110))
-            (SETUP DI2 (posedge CLK) (0.143::0.178))
-        )
     )
 )
\ No newline at end of file
diff --git a/artix7/timings/CLK_HROW_BOT_R.sdf b/artix7/timings/CLK_HROW_BOT_R.sdf
index 1cd4122..9311303 100644
--- a/artix7/timings/CLK_HROW_BOT_R.sdf
+++ b/artix7/timings/CLK_HROW_BOT_R.sdf
@@ -4,14 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_0")
-        (INSTANCE BUFHCE)
-        (TIMINGCHECK
-            (HOLD I (posedge I) (0.180::0.202))
-            (SETUP I (posedge I) (0.246::0.275))
-        )
-    )
-    (CELL
         (CELLTYPE "BUFHCE")
         (INSTANCE BUFHCE)
         (DELAY
@@ -21,14 +13,6 @@
         )
     )
     (CELL
-        (CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_1")
-        (INSTANCE BUFHCE)
-        (TIMINGCHECK
-            (HOLD I (posedge I) (0.180::0.202))
-            (SETUP I (posedge I) (0.246::0.275))
-        )
-    )
-    (CELL
         (CELLTYPE "BUFHCE_CE_TYPE_ASYNC")
         (INSTANCE BUFHCE)
         (DELAY
@@ -37,4 +21,20 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_0")
+        (INSTANCE BUFHCE)
+        (TIMINGCHECK
+            (HOLD CE (posedge I) (0.180::0.202))
+            (SETUP CE (posedge I) (0.246::0.275))
+        )
+    )
+    (CELL
+        (CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_1")
+        (INSTANCE BUFHCE)
+        (TIMINGCHECK
+            (HOLD CE (posedge I) (0.180::0.202))
+            (SETUP CE (posedge I) (0.246::0.275))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CLK_HROW_TOP_R.sdf b/artix7/timings/CLK_HROW_TOP_R.sdf
index 1cd4122..9311303 100644
--- a/artix7/timings/CLK_HROW_TOP_R.sdf
+++ b/artix7/timings/CLK_HROW_TOP_R.sdf
@@ -4,14 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_0")
-        (INSTANCE BUFHCE)
-        (TIMINGCHECK
-            (HOLD I (posedge I) (0.180::0.202))
-            (SETUP I (posedge I) (0.246::0.275))
-        )
-    )
-    (CELL
         (CELLTYPE "BUFHCE")
         (INSTANCE BUFHCE)
         (DELAY
@@ -21,14 +13,6 @@
         )
     )
     (CELL
-        (CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_1")
-        (INSTANCE BUFHCE)
-        (TIMINGCHECK
-            (HOLD I (posedge I) (0.180::0.202))
-            (SETUP I (posedge I) (0.246::0.275))
-        )
-    )
-    (CELL
         (CELLTYPE "BUFHCE_CE_TYPE_ASYNC")
         (INSTANCE BUFHCE)
         (DELAY
@@ -37,4 +21,20 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_0")
+        (INSTANCE BUFHCE)
+        (TIMINGCHECK
+            (HOLD CE (posedge I) (0.180::0.202))
+            (SETUP CE (posedge I) (0.246::0.275))
+        )
+    )
+    (CELL
+        (CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_1")
+        (INSTANCE BUFHCE)
+        (TIMINGCHECK
+            (HOLD CE (posedge I) (0.180::0.202))
+            (SETUP CE (posedge I) (0.246::0.275))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CMT_FIFO_L.sdf b/artix7/timings/CMT_FIFO_L.sdf
index 4fbcdf6..4650096 100644
--- a/artix7/timings/CMT_FIFO_L.sdf
+++ b/artix7/timings/CMT_FIFO_L.sdf
@@ -4,67 +4,115 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D9")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO")
+        (INSTANCE IN_FIFO)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH RDCLK ALMOSTEMPTY (0.196::0.226)(0.462::0.531))
+                (IOPATH RDCLK EMPTY (0.193::0.222)(0.536::0.617))
+                (IOPATH WRCLK ALMOSTFULL (0.153::0.176)(0.462::0.531))
+                (IOPATH WRCLK FULL (0.152::0.175)(0.665::0.765))
+            )
+        )
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
-            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+            (HOLD RDCLK (posedge RDCLK) (0.015::0.018))
+            (SETUP RDCLK (posedge RDCLK) (0.509::0.586))
+            (HOLD SCANENB (posedge RDCLK) (0.537::0.618))
+            (SETUP SCANENB (posedge RDCLK) (0.976::1.123))
+            (HOLD SCANENB (posedge WRCLK) (0.537::0.618))
+            (SETUP SCANENB (posedge WRCLK) (0.976::1.123))
+            (HOLD WREN (posedge WRCLK) (-0.019::-0.016))
+            (SETUP WREN (posedge WRCLK) (0.461::0.530))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D5")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D0")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.011::-0.010))
-            (SETUP WRCLK (posedge WRCLK) (0.354::0.408))
+            (HOLD WRCLK (posedge WRCLK) (-0.080::-0.070))
+            (SETUP WRCLK (posedge WRCLK) (0.473::0.544))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D3")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D1")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
-            (SETUP WRCLK (posedge WRCLK) (0.354::0.408))
+            (HOLD WRCLK (posedge WRCLK) (-0.069::-0.060))
+            (SETUP WRCLK (posedge WRCLK) (0.496::0.571))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D0")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D2")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
-            (SETUP WRCLK (posedge WRCLK) (0.381::0.438))
+            (HOLD WRCLK (posedge WRCLK) (-0.073::-0.063))
+            (SETUP WRCLK (posedge WRCLK) (0.456::0.524))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D4")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D3")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
-            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+            (HOLD WRCLK (posedge WRCLK) (-0.050::-0.043))
+            (SETUP WRCLK (posedge WRCLK) (0.453::0.521))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D7")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D4")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
-            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+            (HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
+            (SETUP WRCLK (posedge WRCLK) (0.413::0.475))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D2")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D5")
+        (INSTANCE IN_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.026::-0.023))
+            (SETUP WRCLK (posedge WRCLK) (0.404::0.465))
+        )
+    )
+    (CELL
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D6")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
             (HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
-            (SETUP WRCLK (posedge WRCLK) (0.367::0.422))
+            (SETUP WRCLK (posedge WRCLK) (0.414::0.476))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D8")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D7")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
-            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+            (HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
+            (SETUP WRCLK (posedge WRCLK) (0.414::0.476))
+        )
+    )
+    (CELL
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D8")
+        (INSTANCE IN_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.043::-0.037))
+            (SETUP WRCLK (posedge WRCLK) (0.429::0.494))
+        )
+    )
+    (CELL
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D9")
+        (INSTANCE IN_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.040::-0.035))
+            (SETUP WRCLK (posedge WRCLK) (0.454::0.522))
+        )
+    )
+    (CELL
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_SCANIN")
+        (INSTANCE IN_FIFO)
+        (TIMINGCHECK
+            (HOLD RDCLK (posedge RDCLK) (0.537::0.618))
+            (SETUP RDCLK (posedge RDCLK) (0.976::1.123))
+            (HOLD WRCLK (posedge WRCLK) (0.537::0.618))
+            (SETUP WRCLK (posedge WRCLK) (0.976::1.123))
         )
     )
     (CELL
@@ -83,13 +131,61 @@
             (SETUP RDEN (posedge RDCLK) (0.566::0.651))
             (HOLD SCANENB (posedge RDCLK) (0.537::0.618))
             (SETUP SCANENB (posedge RDCLK) (0.976::1.123))
-            (HOLD WRCLK (posedge WRCLK) (0.537::0.618))
-            (SETUP WRCLK (posedge WRCLK) (0.976::1.123))
+            (HOLD SCANENB (posedge WRCLK) (0.537::0.618))
+            (SETUP SCANENB (posedge WRCLK) (0.976::1.123))
             (HOLD WREN (posedge WRCLK) (-0.030::-0.026))
             (SETUP WREN (posedge WRCLK) (0.373::0.430))
         )
     )
     (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D0")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
+            (SETUP WRCLK (posedge WRCLK) (0.381::0.438))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D1")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
+            (SETUP WRCLK (posedge WRCLK) (0.381::0.438))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D2")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
+            (SETUP WRCLK (posedge WRCLK) (0.367::0.422))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D3")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
+            (SETUP WRCLK (posedge WRCLK) (0.354::0.408))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D4")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
+            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D5")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.011::-0.010))
+            (SETUP WRCLK (posedge WRCLK) (0.354::0.408))
+        )
+    )
+    (CELL
         (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D6")
         (INSTANCE OUT_FIFO)
         (TIMINGCHECK
@@ -98,6 +194,30 @@
         )
     )
     (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D7")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
+            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D8")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
+            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D9")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
+            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+        )
+    )
+    (CELL
         (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_SCANIN")
         (INSTANCE OUT_FIFO)
         (TIMINGCHECK
@@ -107,124 +227,4 @@
             (SETUP WRCLK (posedge WRCLK) (0.976::1.123))
         )
     )
-    (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D1")
-        (INSTANCE OUT_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
-            (SETUP WRCLK (posedge WRCLK) (0.381::0.438))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D3")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.050::-0.043))
-            (SETUP WRCLK (posedge WRCLK) (0.453::0.521))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D0")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.080::-0.070))
-            (SETUP WRCLK (posedge WRCLK) (0.473::0.544))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D8")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.043::-0.037))
-            (SETUP WRCLK (posedge WRCLK) (0.429::0.494))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D7")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
-            (SETUP WRCLK (posedge WRCLK) (0.414::0.476))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_SCANIN")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD RDCLK (posedge RDCLK) (0.537::0.618))
-            (SETUP RDCLK (posedge RDCLK) (0.976::1.123))
-            (HOLD WRCLK (posedge WRCLK) (0.537::0.618))
-            (SETUP WRCLK (posedge WRCLK) (0.976::1.123))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D4")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
-            (SETUP WRCLK (posedge WRCLK) (0.413::0.475))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D2")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.073::-0.063))
-            (SETUP WRCLK (posedge WRCLK) (0.456::0.524))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D5")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.026::-0.023))
-            (SETUP WRCLK (posedge WRCLK) (0.404::0.465))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D1")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.069::-0.060))
-            (SETUP WRCLK (posedge WRCLK) (0.496::0.571))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D6")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
-            (SETUP WRCLK (posedge WRCLK) (0.414::0.476))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO")
-        (INSTANCE IN_FIFO)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH RDCLK ALMOSTEMPTY (0.196::0.226)(0.462::0.531))
-                (IOPATH RDCLK EMPTY (0.193::0.222)(0.536::0.617))
-                (IOPATH WRCLK ALMOSTFULL (0.153::0.176)(0.462::0.531))
-                (IOPATH WRCLK FULL (0.152::0.175)(0.665::0.765))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD RDEN (posedge RDCLK) (0.015::0.018))
-            (SETUP RDEN (posedge RDCLK) (0.509::0.586))
-            (HOLD SCANENB (posedge RDCLK) (0.537::0.618))
-            (SETUP SCANENB (posedge RDCLK) (0.976::1.123))
-            (HOLD WRCLK (posedge WRCLK) (0.537::0.618))
-            (SETUP WRCLK (posedge WRCLK) (0.976::1.123))
-            (HOLD WREN (posedge WRCLK) (-0.019::-0.016))
-            (SETUP WREN (posedge WRCLK) (0.461::0.530))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D9")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.040::-0.035))
-            (SETUP WRCLK (posedge WRCLK) (0.454::0.522))
-        )
-    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CMT_FIFO_R.sdf b/artix7/timings/CMT_FIFO_R.sdf
index 4fbcdf6..4650096 100644
--- a/artix7/timings/CMT_FIFO_R.sdf
+++ b/artix7/timings/CMT_FIFO_R.sdf
@@ -4,67 +4,115 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D9")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO")
+        (INSTANCE IN_FIFO)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH RDCLK ALMOSTEMPTY (0.196::0.226)(0.462::0.531))
+                (IOPATH RDCLK EMPTY (0.193::0.222)(0.536::0.617))
+                (IOPATH WRCLK ALMOSTFULL (0.153::0.176)(0.462::0.531))
+                (IOPATH WRCLK FULL (0.152::0.175)(0.665::0.765))
+            )
+        )
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
-            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+            (HOLD RDCLK (posedge RDCLK) (0.015::0.018))
+            (SETUP RDCLK (posedge RDCLK) (0.509::0.586))
+            (HOLD SCANENB (posedge RDCLK) (0.537::0.618))
+            (SETUP SCANENB (posedge RDCLK) (0.976::1.123))
+            (HOLD SCANENB (posedge WRCLK) (0.537::0.618))
+            (SETUP SCANENB (posedge WRCLK) (0.976::1.123))
+            (HOLD WREN (posedge WRCLK) (-0.019::-0.016))
+            (SETUP WREN (posedge WRCLK) (0.461::0.530))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D5")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D0")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.011::-0.010))
-            (SETUP WRCLK (posedge WRCLK) (0.354::0.408))
+            (HOLD WRCLK (posedge WRCLK) (-0.080::-0.070))
+            (SETUP WRCLK (posedge WRCLK) (0.473::0.544))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D3")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D1")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
-            (SETUP WRCLK (posedge WRCLK) (0.354::0.408))
+            (HOLD WRCLK (posedge WRCLK) (-0.069::-0.060))
+            (SETUP WRCLK (posedge WRCLK) (0.496::0.571))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D0")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D2")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
-            (SETUP WRCLK (posedge WRCLK) (0.381::0.438))
+            (HOLD WRCLK (posedge WRCLK) (-0.073::-0.063))
+            (SETUP WRCLK (posedge WRCLK) (0.456::0.524))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D4")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D3")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
-            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+            (HOLD WRCLK (posedge WRCLK) (-0.050::-0.043))
+            (SETUP WRCLK (posedge WRCLK) (0.453::0.521))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D7")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D4")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
-            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+            (HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
+            (SETUP WRCLK (posedge WRCLK) (0.413::0.475))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D2")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D5")
+        (INSTANCE IN_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.026::-0.023))
+            (SETUP WRCLK (posedge WRCLK) (0.404::0.465))
+        )
+    )
+    (CELL
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D6")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
             (HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
-            (SETUP WRCLK (posedge WRCLK) (0.367::0.422))
+            (SETUP WRCLK (posedge WRCLK) (0.414::0.476))
         )
     )
     (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D8")
-        (INSTANCE OUT_FIFO)
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D7")
+        (INSTANCE IN_FIFO)
         (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
-            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+            (HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
+            (SETUP WRCLK (posedge WRCLK) (0.414::0.476))
+        )
+    )
+    (CELL
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D8")
+        (INSTANCE IN_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.043::-0.037))
+            (SETUP WRCLK (posedge WRCLK) (0.429::0.494))
+        )
+    )
+    (CELL
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D9")
+        (INSTANCE IN_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.040::-0.035))
+            (SETUP WRCLK (posedge WRCLK) (0.454::0.522))
+        )
+    )
+    (CELL
+        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_SCANIN")
+        (INSTANCE IN_FIFO)
+        (TIMINGCHECK
+            (HOLD RDCLK (posedge RDCLK) (0.537::0.618))
+            (SETUP RDCLK (posedge RDCLK) (0.976::1.123))
+            (HOLD WRCLK (posedge WRCLK) (0.537::0.618))
+            (SETUP WRCLK (posedge WRCLK) (0.976::1.123))
         )
     )
     (CELL
@@ -83,13 +131,61 @@
             (SETUP RDEN (posedge RDCLK) (0.566::0.651))
             (HOLD SCANENB (posedge RDCLK) (0.537::0.618))
             (SETUP SCANENB (posedge RDCLK) (0.976::1.123))
-            (HOLD WRCLK (posedge WRCLK) (0.537::0.618))
-            (SETUP WRCLK (posedge WRCLK) (0.976::1.123))
+            (HOLD SCANENB (posedge WRCLK) (0.537::0.618))
+            (SETUP SCANENB (posedge WRCLK) (0.976::1.123))
             (HOLD WREN (posedge WRCLK) (-0.030::-0.026))
             (SETUP WREN (posedge WRCLK) (0.373::0.430))
         )
     )
     (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D0")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
+            (SETUP WRCLK (posedge WRCLK) (0.381::0.438))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D1")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
+            (SETUP WRCLK (posedge WRCLK) (0.381::0.438))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D2")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
+            (SETUP WRCLK (posedge WRCLK) (0.367::0.422))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D3")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
+            (SETUP WRCLK (posedge WRCLK) (0.354::0.408))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D4")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
+            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D5")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.011::-0.010))
+            (SETUP WRCLK (posedge WRCLK) (0.354::0.408))
+        )
+    )
+    (CELL
         (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D6")
         (INSTANCE OUT_FIFO)
         (TIMINGCHECK
@@ -98,6 +194,30 @@
         )
     )
     (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D7")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
+            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D8")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
+            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+        )
+    )
+    (CELL
+        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D9")
+        (INSTANCE OUT_FIFO)
+        (TIMINGCHECK
+            (HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
+            (SETUP WRCLK (posedge WRCLK) (0.344::0.395))
+        )
+    )
+    (CELL
         (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_SCANIN")
         (INSTANCE OUT_FIFO)
         (TIMINGCHECK
@@ -107,124 +227,4 @@
             (SETUP WRCLK (posedge WRCLK) (0.976::1.123))
         )
     )
-    (CELL
-        (CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D1")
-        (INSTANCE OUT_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
-            (SETUP WRCLK (posedge WRCLK) (0.381::0.438))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D3")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.050::-0.043))
-            (SETUP WRCLK (posedge WRCLK) (0.453::0.521))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D0")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.080::-0.070))
-            (SETUP WRCLK (posedge WRCLK) (0.473::0.544))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D8")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.043::-0.037))
-            (SETUP WRCLK (posedge WRCLK) (0.429::0.494))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D7")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
-            (SETUP WRCLK (posedge WRCLK) (0.414::0.476))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_SCANIN")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD RDCLK (posedge RDCLK) (0.537::0.618))
-            (SETUP RDCLK (posedge RDCLK) (0.976::1.123))
-            (HOLD WRCLK (posedge WRCLK) (0.537::0.618))
-            (SETUP WRCLK (posedge WRCLK) (0.976::1.123))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D4")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
-            (SETUP WRCLK (posedge WRCLK) (0.413::0.475))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D2")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.073::-0.063))
-            (SETUP WRCLK (posedge WRCLK) (0.456::0.524))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D5")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.026::-0.023))
-            (SETUP WRCLK (posedge WRCLK) (0.404::0.465))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D1")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.069::-0.060))
-            (SETUP WRCLK (posedge WRCLK) (0.496::0.571))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D6")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
-            (SETUP WRCLK (posedge WRCLK) (0.414::0.476))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO")
-        (INSTANCE IN_FIFO)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH RDCLK ALMOSTEMPTY (0.196::0.226)(0.462::0.531))
-                (IOPATH RDCLK EMPTY (0.193::0.222)(0.536::0.617))
-                (IOPATH WRCLK ALMOSTFULL (0.153::0.176)(0.462::0.531))
-                (IOPATH WRCLK FULL (0.152::0.175)(0.665::0.765))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD RDEN (posedge RDCLK) (0.015::0.018))
-            (SETUP RDEN (posedge RDCLK) (0.509::0.586))
-            (HOLD SCANENB (posedge RDCLK) (0.537::0.618))
-            (SETUP SCANENB (posedge RDCLK) (0.976::1.123))
-            (HOLD WRCLK (posedge WRCLK) (0.537::0.618))
-            (SETUP WRCLK (posedge WRCLK) (0.976::1.123))
-            (HOLD WREN (posedge WRCLK) (-0.019::-0.016))
-            (SETUP WREN (posedge WRCLK) (0.461::0.530))
-        )
-    )
-    (CELL
-        (CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D9")
-        (INSTANCE IN_FIFO)
-        (TIMINGCHECK
-            (HOLD WRCLK (posedge WRCLK) (-0.040::-0.035))
-            (SETUP WRCLK (posedge WRCLK) (0.454::0.522))
-        )
-    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CMT_TOP_L_LOWER_B.sdf b/artix7/timings/CMT_TOP_L_LOWER_B.sdf
index af230b3..4a6a5e1 100644
--- a/artix7/timings/CMT_TOP_L_LOWER_B.sdf
+++ b/artix7/timings/CMT_TOP_L_LOWER_B.sdf
@@ -18,10 +18,10 @@
         (TIMINGCHECK
             (HOLD DEN (posedge DCLK) (0.000::0.000))
             (SETUP DEN (posedge DCLK) (2.156::2.290))
-            (HOLD DWE (posedge DCLK) (0.141::0.150))
-            (SETUP DWE (posedge DCLK) (1.527::1.622))
-            (HOLD PSCLK (posedge PSCLK) (0.000::0.000))
-            (SETUP PSCLK (posedge PSCLK) (0.979::1.040))
+            (HOLD DCLK (posedge DCLK) (0.141::0.150))
+            (SETUP DCLK (posedge DCLK) (1.527::1.622))
+            (HOLD PSEN (posedge PSCLK) (0.000::0.000))
+            (SETUP PSEN (posedge PSCLK) (0.979::1.040))
             (HOLD PSINCDEC (posedge PSCLK) (0.000::0.000))
             (SETUP PSINCDEC (posedge PSCLK) (0.979::1.040))
         )
@@ -37,24 +37,6 @@
         )
     )
     (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_ZHOLD")
-        (INSTANCE MMCME2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 CLKOUT4 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT4 (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DADDR")
-        (INSTANCE MMCME2_ADV)
-        (TIMINGCHECK
-            (HOLD DCLK (posedge DCLK) (0.141::0.150))
-            (SETUP DCLK (posedge DCLK) (1.527::1.622))
-        )
-    )
-    (CELL
         (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_EXTERNAL")
         (INSTANCE MMCME2_ADV)
         (DELAY
@@ -75,7 +57,7 @@
         )
     )
     (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_EXTERNAL")
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_ZHOLD")
         (INSTANCE MMCME2_ADV)
         (DELAY
             (ABSOLUTE
@@ -85,89 +67,6 @@
         )
     )
     (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_EXTERNAL")
-        (INSTANCE MMCME2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_INTERNAL")
-        (INSTANCE MMCME2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 CLKOUT4 (0.263::0.279)(0.492::0.522))
-                (IOPATH CLKIN2 CLKOUT4 (0.263::0.279)(0.492::0.522))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_ZHOLD")
-        (INSTANCE MMCME2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_01")
-        (INSTANCE MMCME2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKFBIN TMUXOUT (0.527::0.560)(0.979::1.040))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_BUF_IN")
         (INSTANCE MMCME2_ADV)
         (DELAY
@@ -178,52 +77,22 @@
         )
     )
     (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_00")
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_EXTERNAL")
         (INSTANCE MMCME2_ADV)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
-                (IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
+                (IOPATH CLKIN1 CLKOUT4 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT4 (0.000::0.000)(0.000::0.000))
             )
         )
     )
     (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DI")
-        (INSTANCE MMCME2_ADV)
-        (TIMINGCHECK
-            (HOLD DCLK (posedge DCLK) (0.141::0.150))
-            (SETUP DCLK (posedge DCLK) (1.527::1.622))
-        )
-    )
-    (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_INTERNAL")
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_INTERNAL")
         (INSTANCE MMCME2_ADV)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLKIN1 CLKFBOUT (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKFBOUTB (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT0 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT0B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT1 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT1B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT2 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT2B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT3 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT3B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT5 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT6 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKFBOUT (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKFBOUTB (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT0 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT0B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT1 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT1B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT2 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT2B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT3 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT3B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT5 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT6 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT4 (0.263::0.279)(0.492::0.522))
+                (IOPATH CLKIN2 CLKOUT4 (0.263::0.279)(0.492::0.522))
             )
         )
     )
@@ -269,4 +138,135 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_EXTERNAL")
+        (INSTANCE MMCME2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_INTERNAL")
+        (INSTANCE MMCME2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 CLKFBOUT (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKFBOUTB (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT0 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT0B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT1 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT1B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT2 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT2B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT3 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT3B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT5 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT6 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKFBOUT (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKFBOUTB (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT0 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT0B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT1 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT1B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT2 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT2B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT3 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT3B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT5 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT6 (0.050::0.053)(0.083::0.088))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_ZHOLD")
+        (INSTANCE MMCME2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DADDR")
+        (INSTANCE MMCME2_ADV)
+        (TIMINGCHECK
+            (HOLD DCLK (posedge DCLK) (0.141::0.150))
+            (SETUP DCLK (posedge DCLK) (1.527::1.622))
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DI")
+        (INSTANCE MMCME2_ADV)
+        (TIMINGCHECK
+            (HOLD DCLK (posedge DCLK) (0.141::0.150))
+            (SETUP DCLK (posedge DCLK) (1.527::1.622))
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_00")
+        (INSTANCE MMCME2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
+                (IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_01")
+        (INSTANCE MMCME2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKFBIN TMUXOUT (0.527::0.560)(0.979::1.040))
+            )
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CMT_TOP_L_LOWER_T.sdf b/artix7/timings/CMT_TOP_L_LOWER_T.sdf
index 6058d50..0a4198c 100644
--- a/artix7/timings/CMT_TOP_L_LOWER_T.sdf
+++ b/artix7/timings/CMT_TOP_L_LOWER_T.sdf
@@ -4,383 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
-        (INSTANCE PHASER_OUT_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
-        (INSTANCE PHASER_OUT_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
-                (IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
-                (IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
-            (SETUP BURSTPENDING (posedge SYSCLK) (0.126::0.134))
-            (HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
-            (HOLD COARSEINC (posedge SYSCLK) (0.000::0.000))
-            (SETUP COARSEINC (posedge SYSCLK) (0.133::0.141))
-            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
-            (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
-            (HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
-            (SETUP COUNTERREADEN (posedge SYSCLK) (0.073::0.077))
-            (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
-            (SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
-            (HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP FINEENABLE (posedge SYSCLK) (0.169::0.179))
-            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
-            (SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.488::0.518))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.194::0.206))
-            (HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANMODEB (posedge SCANCLK) (0.966::1.026))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
             (ABSOLUTE
-                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
-        (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
                 (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
-        (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
             )
         )
     )
@@ -403,11 +31,12 @@
         )
     )
     (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
         (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
+        (DELAY
+            (ABSOLUTE
+                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+            )
         )
     )
     (CELL
@@ -420,19 +49,6 @@
         )
     )
     (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_PHASE_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
@@ -455,58 +71,40 @@
             )
         )
         (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.040::0.042))
+            (HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
+            (SETUP BURSTPENDING (posedge SYSCLK) (0.040::0.042))
             (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
             (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
-            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
-            (SETUP SYSCLK (posedge SYSCLK) (0.095::0.101))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.091::0.097))
+            (HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
+            (SETUP COUNTERREADEN (posedge SYSCLK) (0.095::0.101))
+            (HOLD DIVIDERST (posedge SYSCLK) (0.000::0.000))
+            (SETUP DIVIDERST (posedge SYSCLK) (0.091::0.097))
             (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
             (SETUP EDGEADV (posedge SYSCLK) (0.028::0.030))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.170::0.180))
+            (HOLD ENSTG1 (posedge SYSCLK) (0.000::0.000))
+            (SETUP ENSTG1 (posedge SYSCLK) (0.170::0.180))
             (HOLD ENSTG1ADJUSTB (posedge SYSCLK) (0.000::0.000))
             (SETUP ENSTG1ADJUSTB (posedge SYSCLK) (0.227::0.241))
-            (HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP FINEENABLE (posedge SYSCLK) (0.072::0.076))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.057::0.061))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.156::0.166))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.212::0.225))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.030::0.032))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.072::0.076))
+            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
+            (SETUP FINEINC (posedge SYSCLK) (0.057::0.061))
+            (HOLD RSTDQSFIND (posedge SYSCLK) (0.000::0.000))
+            (SETUP RSTDQSFIND (posedge SYSCLK) (0.156::0.166))
+            (HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANENB (posedge SCANCLK) (0.212::0.225))
+            (HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANIN (posedge SCANCLK) (0.030::0.032))
             (HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
             (SETUP SCANMODEB (posedge SCANCLK) (0.526::0.559))
-            (HOLD SELCALORSTG1 (posedge SYSCLK) (0.000::0.000))
-            (SETUP SELCALORSTG1 (posedge SYSCLK) (0.046::0.049))
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.046::0.049))
             (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
             (SETUP SYSCLK (posedge SYSCLK) (0.227::0.241))
-            (HOLD STG1LOAD (posedge SYSCLK) (0.000::0.000))
-            (SETUP STG1LOAD (posedge SYSCLK) (0.218::0.232))
             (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.154::0.164))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-            )
+            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.232))
+            (HOLD STG1READ (posedge SYSCLK) (0.000::0.000))
+            (SETUP STG1READ (posedge SYSCLK) (0.154::0.164))
         )
     )
     (CELL
@@ -518,6 +116,53 @@
         )
     )
     (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
         (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_FREQ_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
@@ -528,4 +173,359 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
+                (IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
+                (IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.126::0.134))
+            (HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
+            (SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.133::0.141))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.073::0.077))
+            (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
+            (SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.169::0.179))
+            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
+            (SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
+            (HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANENB (posedge SCANCLK) (0.488::0.518))
+            (HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANIN (posedge SCANCLK) (0.194::0.206))
+            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANCLK (posedge SCANCLK) (0.966::1.026))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
+        (INSTANCE PHASER_OUT_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
+        (INSTANCE PHASER_OUT_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CMT_TOP_L_UPPER_B.sdf b/artix7/timings/CMT_TOP_L_UPPER_B.sdf
index 0915403..5119bd0 100644
--- a/artix7/timings/CMT_TOP_L_UPPER_B.sdf
+++ b/artix7/timings/CMT_TOP_L_UPPER_B.sdf
@@ -4,427 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
-        (INSTANCE PHASER_OUT_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
-        (INSTANCE PHASER_OUT_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
-                (IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
-                (IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
-            (SETUP BURSTPENDING (posedge SYSCLK) (0.126::0.134))
-            (HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
-            (HOLD COARSEINC (posedge SYSCLK) (0.000::0.000))
-            (SETUP COARSEINC (posedge SYSCLK) (0.133::0.141))
-            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
-            (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
-            (HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
-            (SETUP COUNTERREADEN (posedge SYSCLK) (0.073::0.077))
-            (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
-            (SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
-            (HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP FINEENABLE (posedge SYSCLK) (0.169::0.179))
-            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
-            (SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.488::0.518))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.194::0.206))
-            (HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANMODEB (posedge SCANCLK) (0.966::1.026))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_PHYCTLWD")
-        (INSTANCE PHY_CONTROL)
-        (TIMINGCHECK
-            (HOLD PHYCLK (posedge PHYCLK) (0.172::0.198))
-            (SETUP PHYCLK (posedge PHYCLK) (0.215::0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL")
-        (INSTANCE PHY_CONTROL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK PHYCTLEMPTY (0.313::0.360)(0.541::0.622))
-                (IOPATH PHYCLK PHYCTLALMOSTFULL (0.158::0.182)(0.338::0.389))
-                (IOPATH PHYCLK PHYCTLFULL (0.151::0.174)(0.321::0.369))
-                (IOPATH PHYCLK PHYCTLREADY (0.174::0.200)(0.368::0.423))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.203::0.233))
-            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.010::0.011))
-            (HOLD PHYCTLWRENABLE (posedge PHYCLK) (0.049::0.056))
-            (SETUP PHYCTLWRENABLE (posedge PHYCLK) (0.327::0.376))
-            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.151::0.174))
-            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.158::0.182))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_TESTINPUT")
-        (INSTANCE PHY_CONTROL)
-        (TIMINGCHECK
-            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.049::0.056))
-            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.244::0.281))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_TESTSELECT")
-        (INSTANCE PHY_CONTROL)
-        (TIMINGCHECK
-            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.049::0.056))
-            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.244::0.281))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
             (ABSOLUTE
-                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
-        (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
                 (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
-        (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
             )
         )
     )
@@ -447,11 +31,12 @@
         )
     )
     (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
         (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
+        (DELAY
+            (ABSOLUTE
+                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+            )
         )
     )
     (CELL
@@ -464,19 +49,6 @@
         )
     )
     (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_PHASE_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
@@ -499,58 +71,40 @@
             )
         )
         (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.040::0.042))
+            (HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
+            (SETUP BURSTPENDING (posedge SYSCLK) (0.040::0.042))
             (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
             (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
-            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
-            (SETUP SYSCLK (posedge SYSCLK) (0.095::0.101))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.091::0.097))
+            (HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
+            (SETUP COUNTERREADEN (posedge SYSCLK) (0.095::0.101))
+            (HOLD DIVIDERST (posedge SYSCLK) (0.000::0.000))
+            (SETUP DIVIDERST (posedge SYSCLK) (0.091::0.097))
             (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
             (SETUP EDGEADV (posedge SYSCLK) (0.028::0.030))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.170::0.180))
+            (HOLD ENSTG1 (posedge SYSCLK) (0.000::0.000))
+            (SETUP ENSTG1 (posedge SYSCLK) (0.170::0.180))
             (HOLD ENSTG1ADJUSTB (posedge SYSCLK) (0.000::0.000))
             (SETUP ENSTG1ADJUSTB (posedge SYSCLK) (0.227::0.241))
-            (HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP FINEENABLE (posedge SYSCLK) (0.072::0.076))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.057::0.061))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.156::0.166))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.212::0.225))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.030::0.032))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.072::0.076))
+            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
+            (SETUP FINEINC (posedge SYSCLK) (0.057::0.061))
+            (HOLD RSTDQSFIND (posedge SYSCLK) (0.000::0.000))
+            (SETUP RSTDQSFIND (posedge SYSCLK) (0.156::0.166))
+            (HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANENB (posedge SCANCLK) (0.212::0.225))
+            (HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANIN (posedge SCANCLK) (0.030::0.032))
             (HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
             (SETUP SCANMODEB (posedge SCANCLK) (0.526::0.559))
-            (HOLD SELCALORSTG1 (posedge SYSCLK) (0.000::0.000))
-            (SETUP SELCALORSTG1 (posedge SYSCLK) (0.046::0.049))
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.046::0.049))
             (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
             (SETUP SYSCLK (posedge SYSCLK) (0.227::0.241))
-            (HOLD STG1LOAD (posedge SYSCLK) (0.000::0.000))
-            (SETUP STG1LOAD (posedge SYSCLK) (0.218::0.232))
             (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.154::0.164))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-            )
+            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.232))
+            (HOLD STG1READ (posedge SYSCLK) (0.000::0.000))
+            (SETUP STG1READ (posedge SYSCLK) (0.154::0.164))
         )
     )
     (CELL
@@ -562,6 +116,53 @@
         )
     )
     (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
         (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_FREQ_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
@@ -572,4 +173,403 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
+                (IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
+                (IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.126::0.134))
+            (HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
+            (SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.133::0.141))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.073::0.077))
+            (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
+            (SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.169::0.179))
+            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
+            (SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
+            (HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANENB (posedge SCANCLK) (0.488::0.518))
+            (HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANIN (posedge SCANCLK) (0.194::0.206))
+            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANCLK (posedge SCANCLK) (0.966::1.026))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
+        (INSTANCE PHASER_OUT_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
+        (INSTANCE PHASER_OUT_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL")
+        (INSTANCE PHY_CONTROL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK PHYCTLEMPTY (0.313::0.360)(0.541::0.622))
+                (IOPATH PHYCLK PHYCTLALMOSTFULL (0.158::0.182)(0.338::0.389))
+                (IOPATH PHYCLK PHYCTLFULL (0.151::0.174)(0.321::0.369))
+                (IOPATH PHYCLK PHYCTLREADY (0.174::0.200)(0.368::0.423))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.203::0.233))
+            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.010::0.011))
+            (HOLD PHYCLK (posedge PHYCLK) (0.049::0.056))
+            (SETUP PHYCLK (posedge PHYCLK) (0.327::0.376))
+            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.151::0.174))
+            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.158::0.182))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_PHYCTLWD")
+        (INSTANCE PHY_CONTROL)
+        (TIMINGCHECK
+            (HOLD PHYCLK (posedge PHYCLK) (0.172::0.198))
+            (SETUP PHYCLK (posedge PHYCLK) (0.215::0.248))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_TESTINPUT")
+        (INSTANCE PHY_CONTROL)
+        (TIMINGCHECK
+            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.049::0.056))
+            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.244::0.281))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_TESTSELECT")
+        (INSTANCE PHY_CONTROL)
+        (TIMINGCHECK
+            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.049::0.056))
+            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.244::0.281))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CMT_TOP_L_UPPER_T.sdf b/artix7/timings/CMT_TOP_L_UPPER_T.sdf
index 4632082..0628468 100644
--- a/artix7/timings/CMT_TOP_L_UPPER_T.sdf
+++ b/artix7/timings/CMT_TOP_L_UPPER_T.sdf
@@ -4,14 +4,44 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DADDR")
+        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV")
         (INSTANCE PLLE2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DCLK DRDY (0.286::0.304)(0.927::0.984))
+                (IOPATH RST LOCKED (3.000::3.000)(3.000::3.000))
+            )
+        )
         (TIMINGCHECK
+            (HOLD DEN (posedge DCLK) (0.000::0.000))
+            (SETUP DEN (posedge DCLK) (2.156::2.290))
             (HOLD DCLK (posedge DCLK) (0.141::0.150))
             (SETUP DCLK (posedge DCLK) (1.527::1.622))
         )
     )
     (CELL
+        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_BUF_IN")
+        (INSTANCE PLLE2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
+            )
+        )
+    )
+    (CELL
         (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_EXTERNAL")
         (INSTANCE PLLE2_ADV)
         (DELAY
@@ -56,46 +86,6 @@
         )
     )
     (CELL
-        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DI")
-        (INSTANCE PLLE2_ADV)
-        (TIMINGCHECK
-            (HOLD DCLK (posedge DCLK) (0.141::0.150))
-            (SETUP DCLK (posedge DCLK) (1.527::1.622))
-        )
-    )
-    (CELL
-        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_00")
-        (INSTANCE PLLE2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
-                (IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_BUF_IN")
-        (INSTANCE PLLE2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_ZHOLD")
         (INSTANCE PLLE2_ADV)
         (DELAY
@@ -118,6 +108,32 @@
         )
     )
     (CELL
+        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DADDR")
+        (INSTANCE PLLE2_ADV)
+        (TIMINGCHECK
+            (HOLD DCLK (posedge DCLK) (0.141::0.150))
+            (SETUP DCLK (posedge DCLK) (1.527::1.622))
+        )
+    )
+    (CELL
+        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DI")
+        (INSTANCE PLLE2_ADV)
+        (TIMINGCHECK
+            (HOLD DCLK (posedge DCLK) (0.141::0.150))
+            (SETUP DCLK (posedge DCLK) (1.527::1.622))
+        )
+    )
+    (CELL
+        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_00")
+        (INSTANCE PLLE2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
+                (IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
+            )
+        )
+    )
+    (CELL
         (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_01")
         (INSTANCE PLLE2_ADV)
         (DELAY
@@ -126,20 +142,4 @@
             )
         )
     )
-    (CELL
-        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV")
-        (INSTANCE PLLE2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DCLK DRDY (0.286::0.304)(0.927::0.984))
-                (IOPATH RST LOCKED (3.000::3.000)(3.000::3.000))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD DEN (posedge DCLK) (0.000::0.000))
-            (SETUP DEN (posedge DCLK) (2.156::2.290))
-            (HOLD DWE (posedge DCLK) (0.141::0.150))
-            (SETUP DWE (posedge DCLK) (1.527::1.622))
-        )
-    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CMT_TOP_R_LOWER_B.sdf b/artix7/timings/CMT_TOP_R_LOWER_B.sdf
index af230b3..4a6a5e1 100644
--- a/artix7/timings/CMT_TOP_R_LOWER_B.sdf
+++ b/artix7/timings/CMT_TOP_R_LOWER_B.sdf
@@ -18,10 +18,10 @@
         (TIMINGCHECK
             (HOLD DEN (posedge DCLK) (0.000::0.000))
             (SETUP DEN (posedge DCLK) (2.156::2.290))
-            (HOLD DWE (posedge DCLK) (0.141::0.150))
-            (SETUP DWE (posedge DCLK) (1.527::1.622))
-            (HOLD PSCLK (posedge PSCLK) (0.000::0.000))
-            (SETUP PSCLK (posedge PSCLK) (0.979::1.040))
+            (HOLD DCLK (posedge DCLK) (0.141::0.150))
+            (SETUP DCLK (posedge DCLK) (1.527::1.622))
+            (HOLD PSEN (posedge PSCLK) (0.000::0.000))
+            (SETUP PSEN (posedge PSCLK) (0.979::1.040))
             (HOLD PSINCDEC (posedge PSCLK) (0.000::0.000))
             (SETUP PSINCDEC (posedge PSCLK) (0.979::1.040))
         )
@@ -37,24 +37,6 @@
         )
     )
     (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_ZHOLD")
-        (INSTANCE MMCME2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 CLKOUT4 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT4 (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DADDR")
-        (INSTANCE MMCME2_ADV)
-        (TIMINGCHECK
-            (HOLD DCLK (posedge DCLK) (0.141::0.150))
-            (SETUP DCLK (posedge DCLK) (1.527::1.622))
-        )
-    )
-    (CELL
         (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_EXTERNAL")
         (INSTANCE MMCME2_ADV)
         (DELAY
@@ -75,7 +57,7 @@
         )
     )
     (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_EXTERNAL")
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_ZHOLD")
         (INSTANCE MMCME2_ADV)
         (DELAY
             (ABSOLUTE
@@ -85,89 +67,6 @@
         )
     )
     (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_EXTERNAL")
-        (INSTANCE MMCME2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_INTERNAL")
-        (INSTANCE MMCME2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 CLKOUT4 (0.263::0.279)(0.492::0.522))
-                (IOPATH CLKIN2 CLKOUT4 (0.263::0.279)(0.492::0.522))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_ZHOLD")
-        (INSTANCE MMCME2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
-                (IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_01")
-        (INSTANCE MMCME2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKFBIN TMUXOUT (0.527::0.560)(0.979::1.040))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_BUF_IN")
         (INSTANCE MMCME2_ADV)
         (DELAY
@@ -178,52 +77,22 @@
         )
     )
     (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_00")
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_EXTERNAL")
         (INSTANCE MMCME2_ADV)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
-                (IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
+                (IOPATH CLKIN1 CLKOUT4 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT4 (0.000::0.000)(0.000::0.000))
             )
         )
     )
     (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DI")
-        (INSTANCE MMCME2_ADV)
-        (TIMINGCHECK
-            (HOLD DCLK (posedge DCLK) (0.141::0.150))
-            (SETUP DCLK (posedge DCLK) (1.527::1.622))
-        )
-    )
-    (CELL
-        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_INTERNAL")
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_INTERNAL")
         (INSTANCE MMCME2_ADV)
         (DELAY
             (ABSOLUTE
-                (IOPATH CLKIN1 CLKFBOUT (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKFBOUTB (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT0 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT0B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT1 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT1B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT2 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT2B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT3 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT3B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT5 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN1 CLKOUT6 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKFBOUT (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKFBOUTB (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT0 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT0B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT1 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT1B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT2 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT2B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT3 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT3B (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT5 (0.050::0.053)(0.083::0.088))
-                (IOPATH CLKIN2 CLKOUT6 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT4 (0.263::0.279)(0.492::0.522))
+                (IOPATH CLKIN2 CLKOUT4 (0.263::0.279)(0.492::0.522))
             )
         )
     )
@@ -269,4 +138,135 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_EXTERNAL")
+        (INSTANCE MMCME2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_INTERNAL")
+        (INSTANCE MMCME2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 CLKFBOUT (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKFBOUTB (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT0 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT0B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT1 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT1B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT2 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT2B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT3 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT3B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT5 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN1 CLKOUT6 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKFBOUT (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKFBOUTB (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT0 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT0B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT1 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT1B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT2 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT2B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT3 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT3B (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT5 (0.050::0.053)(0.083::0.088))
+                (IOPATH CLKIN2 CLKOUT6 (0.050::0.053)(0.083::0.088))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_ZHOLD")
+        (INSTANCE MMCME2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
+                (IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DADDR")
+        (INSTANCE MMCME2_ADV)
+        (TIMINGCHECK
+            (HOLD DCLK (posedge DCLK) (0.141::0.150))
+            (SETUP DCLK (posedge DCLK) (1.527::1.622))
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DI")
+        (INSTANCE MMCME2_ADV)
+        (TIMINGCHECK
+            (HOLD DCLK (posedge DCLK) (0.141::0.150))
+            (SETUP DCLK (posedge DCLK) (1.527::1.622))
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_00")
+        (INSTANCE MMCME2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
+                (IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_01")
+        (INSTANCE MMCME2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKFBIN TMUXOUT (0.527::0.560)(0.979::1.040))
+            )
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CMT_TOP_R_LOWER_T.sdf b/artix7/timings/CMT_TOP_R_LOWER_T.sdf
index 6058d50..0a4198c 100644
--- a/artix7/timings/CMT_TOP_R_LOWER_T.sdf
+++ b/artix7/timings/CMT_TOP_R_LOWER_T.sdf
@@ -4,383 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
-        (INSTANCE PHASER_OUT_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
-        (INSTANCE PHASER_OUT_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
-                (IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
-                (IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
-            (SETUP BURSTPENDING (posedge SYSCLK) (0.126::0.134))
-            (HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
-            (HOLD COARSEINC (posedge SYSCLK) (0.000::0.000))
-            (SETUP COARSEINC (posedge SYSCLK) (0.133::0.141))
-            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
-            (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
-            (HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
-            (SETUP COUNTERREADEN (posedge SYSCLK) (0.073::0.077))
-            (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
-            (SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
-            (HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP FINEENABLE (posedge SYSCLK) (0.169::0.179))
-            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
-            (SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.488::0.518))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.194::0.206))
-            (HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANMODEB (posedge SCANCLK) (0.966::1.026))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
             (ABSOLUTE
-                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
-        (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
                 (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
-        (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
             )
         )
     )
@@ -403,11 +31,12 @@
         )
     )
     (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
         (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
+        (DELAY
+            (ABSOLUTE
+                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+            )
         )
     )
     (CELL
@@ -420,19 +49,6 @@
         )
     )
     (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_PHASE_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
@@ -455,58 +71,40 @@
             )
         )
         (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.040::0.042))
+            (HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
+            (SETUP BURSTPENDING (posedge SYSCLK) (0.040::0.042))
             (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
             (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
-            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
-            (SETUP SYSCLK (posedge SYSCLK) (0.095::0.101))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.091::0.097))
+            (HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
+            (SETUP COUNTERREADEN (posedge SYSCLK) (0.095::0.101))
+            (HOLD DIVIDERST (posedge SYSCLK) (0.000::0.000))
+            (SETUP DIVIDERST (posedge SYSCLK) (0.091::0.097))
             (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
             (SETUP EDGEADV (posedge SYSCLK) (0.028::0.030))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.170::0.180))
+            (HOLD ENSTG1 (posedge SYSCLK) (0.000::0.000))
+            (SETUP ENSTG1 (posedge SYSCLK) (0.170::0.180))
             (HOLD ENSTG1ADJUSTB (posedge SYSCLK) (0.000::0.000))
             (SETUP ENSTG1ADJUSTB (posedge SYSCLK) (0.227::0.241))
-            (HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP FINEENABLE (posedge SYSCLK) (0.072::0.076))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.057::0.061))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.156::0.166))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.212::0.225))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.030::0.032))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.072::0.076))
+            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
+            (SETUP FINEINC (posedge SYSCLK) (0.057::0.061))
+            (HOLD RSTDQSFIND (posedge SYSCLK) (0.000::0.000))
+            (SETUP RSTDQSFIND (posedge SYSCLK) (0.156::0.166))
+            (HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANENB (posedge SCANCLK) (0.212::0.225))
+            (HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANIN (posedge SCANCLK) (0.030::0.032))
             (HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
             (SETUP SCANMODEB (posedge SCANCLK) (0.526::0.559))
-            (HOLD SELCALORSTG1 (posedge SYSCLK) (0.000::0.000))
-            (SETUP SELCALORSTG1 (posedge SYSCLK) (0.046::0.049))
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.046::0.049))
             (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
             (SETUP SYSCLK (posedge SYSCLK) (0.227::0.241))
-            (HOLD STG1LOAD (posedge SYSCLK) (0.000::0.000))
-            (SETUP STG1LOAD (posedge SYSCLK) (0.218::0.232))
             (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.154::0.164))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-            )
+            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.232))
+            (HOLD STG1READ (posedge SYSCLK) (0.000::0.000))
+            (SETUP STG1READ (posedge SYSCLK) (0.154::0.164))
         )
     )
     (CELL
@@ -518,6 +116,53 @@
         )
     )
     (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
         (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_FREQ_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
@@ -528,4 +173,359 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
+                (IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
+                (IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.126::0.134))
+            (HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
+            (SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.133::0.141))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.073::0.077))
+            (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
+            (SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.169::0.179))
+            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
+            (SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
+            (HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANENB (posedge SCANCLK) (0.488::0.518))
+            (HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANIN (posedge SCANCLK) (0.194::0.206))
+            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANCLK (posedge SCANCLK) (0.966::1.026))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
+        (INSTANCE PHASER_OUT_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
+        (INSTANCE PHASER_OUT_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CMT_TOP_R_UPPER_B.sdf b/artix7/timings/CMT_TOP_R_UPPER_B.sdf
index 0915403..5119bd0 100644
--- a/artix7/timings/CMT_TOP_R_UPPER_B.sdf
+++ b/artix7/timings/CMT_TOP_R_UPPER_B.sdf
@@ -4,427 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
-        (INSTANCE PHASER_OUT_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
-        (INSTANCE PHASER_OUT_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
-                (IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
-                (IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
-            (SETUP BURSTPENDING (posedge SYSCLK) (0.126::0.134))
-            (HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
-            (HOLD COARSEINC (posedge SYSCLK) (0.000::0.000))
-            (SETUP COARSEINC (posedge SYSCLK) (0.133::0.141))
-            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
-            (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
-            (HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
-            (SETUP COUNTERREADEN (posedge SYSCLK) (0.073::0.077))
-            (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
-            (SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
-            (HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP FINEENABLE (posedge SYSCLK) (0.169::0.179))
-            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
-            (SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.488::0.518))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.194::0.206))
-            (HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANMODEB (posedge SCANCLK) (0.966::1.026))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_OUT_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_PHYCTLWD")
-        (INSTANCE PHY_CONTROL)
-        (TIMINGCHECK
-            (HOLD PHYCLK (posedge PHYCLK) (0.172::0.198))
-            (SETUP PHYCLK (posedge PHYCLK) (0.215::0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL")
-        (INSTANCE PHY_CONTROL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK PHYCTLEMPTY (0.313::0.360)(0.541::0.622))
-                (IOPATH PHYCLK PHYCTLALMOSTFULL (0.158::0.182)(0.338::0.389))
-                (IOPATH PHYCLK PHYCTLFULL (0.151::0.174)(0.321::0.369))
-                (IOPATH PHYCLK PHYCTLREADY (0.174::0.200)(0.368::0.423))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.203::0.233))
-            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.010::0.011))
-            (HOLD PHYCTLWRENABLE (posedge PHYCLK) (0.049::0.056))
-            (SETUP PHYCTLWRENABLE (posedge PHYCLK) (0.327::0.376))
-            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.151::0.174))
-            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.158::0.182))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_TESTINPUT")
-        (INSTANCE PHY_CONTROL)
-        (TIMINGCHECK
-            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.049::0.056))
-            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.244::0.281))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_TESTSELECT")
-        (INSTANCE PHY_CONTROL)
-        (TIMINGCHECK
-            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.049::0.056))
-            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.244::0.281))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
             (ABSOLUTE
-                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
-        (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
                 (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
-        (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
             )
         )
     )
@@ -447,11 +31,12 @@
         )
     )
     (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
         (INSTANCE PHASER_IN_PHY)
-        (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
+        (DELAY
+            (ABSOLUTE
+                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+            )
         )
     )
     (CELL
@@ -464,19 +49,6 @@
         )
     )
     (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
-                (IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_PHASE_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
@@ -499,58 +71,40 @@
             )
         )
         (TIMINGCHECK
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.040::0.042))
+            (HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
+            (SETUP BURSTPENDING (posedge SYSCLK) (0.040::0.042))
             (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
             (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
-            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
-            (SETUP SYSCLK (posedge SYSCLK) (0.095::0.101))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.091::0.097))
+            (HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
+            (SETUP COUNTERREADEN (posedge SYSCLK) (0.095::0.101))
+            (HOLD DIVIDERST (posedge SYSCLK) (0.000::0.000))
+            (SETUP DIVIDERST (posedge SYSCLK) (0.091::0.097))
             (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
             (SETUP EDGEADV (posedge SYSCLK) (0.028::0.030))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.170::0.180))
+            (HOLD ENSTG1 (posedge SYSCLK) (0.000::0.000))
+            (SETUP ENSTG1 (posedge SYSCLK) (0.170::0.180))
             (HOLD ENSTG1ADJUSTB (posedge SYSCLK) (0.000::0.000))
             (SETUP ENSTG1ADJUSTB (posedge SYSCLK) (0.227::0.241))
-            (HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
-            (SETUP FINEENABLE (posedge SYSCLK) (0.072::0.076))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.057::0.061))
-            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.156::0.166))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.212::0.225))
-            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
-            (SETUP SCANCLK (posedge SCANCLK) (0.030::0.032))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.072::0.076))
+            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
+            (SETUP FINEINC (posedge SYSCLK) (0.057::0.061))
+            (HOLD RSTDQSFIND (posedge SYSCLK) (0.000::0.000))
+            (SETUP RSTDQSFIND (posedge SYSCLK) (0.156::0.166))
+            (HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANENB (posedge SCANCLK) (0.212::0.225))
+            (HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANIN (posedge SCANCLK) (0.030::0.032))
             (HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
             (SETUP SCANMODEB (posedge SCANCLK) (0.526::0.559))
-            (HOLD SELCALORSTG1 (posedge SYSCLK) (0.000::0.000))
-            (SETUP SELCALORSTG1 (posedge SYSCLK) (0.046::0.049))
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.046::0.049))
             (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
             (SETUP SYSCLK (posedge SYSCLK) (0.227::0.241))
-            (HOLD STG1LOAD (posedge SYSCLK) (0.000::0.000))
-            (SETUP STG1LOAD (posedge SYSCLK) (0.218::0.232))
             (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
-            (SETUP SYSCLK (posedge SYSCLK) (0.154::0.164))
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
-        (INSTANCE PHASER_IN_PHY)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
-            )
+            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.232))
+            (HOLD STG1READ (posedge SYSCLK) (0.000::0.000))
+            (SETUP STG1READ (posedge SYSCLK) (0.154::0.164))
         )
     )
     (CELL
@@ -562,6 +116,53 @@
         )
     )
     (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
         (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_FREQ_REF")
         (INSTANCE PHASER_IN_PHY)
         (DELAY
@@ -572,4 +173,403 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_IN_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
+        (INSTANCE PHASER_IN_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
+                (IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
+                (IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.126::0.134))
+            (HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
+            (SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.133::0.141))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.073::0.077))
+            (HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
+            (SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
+            (HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
+            (SETUP SYSCLK (posedge SYSCLK) (0.169::0.179))
+            (HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
+            (SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
+            (HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANENB (posedge SCANCLK) (0.488::0.518))
+            (HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANIN (posedge SCANCLK) (0.194::0.206))
+            (HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
+            (SETUP SCANCLK (posedge SCANCLK) (0.966::1.026))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
+        (INSTANCE PHASER_OUT_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
+        (INSTANCE PHASER_OUT_PHY)
+        (TIMINGCHECK
+            (HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
+            (SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
+        (INSTANCE PHASER_OUT_PHY)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
+                (IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL")
+        (INSTANCE PHY_CONTROL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH MEMREFCLK PHYCTLEMPTY (0.313::0.360)(0.541::0.622))
+                (IOPATH PHYCLK PHYCTLALMOSTFULL (0.158::0.182)(0.338::0.389))
+                (IOPATH PHYCLK PHYCTLFULL (0.151::0.174)(0.321::0.369))
+                (IOPATH PHYCLK PHYCTLREADY (0.174::0.200)(0.368::0.423))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.203::0.233))
+            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.010::0.011))
+            (HOLD PHYCLK (posedge PHYCLK) (0.049::0.056))
+            (SETUP PHYCLK (posedge PHYCLK) (0.327::0.376))
+            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.151::0.174))
+            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.158::0.182))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_PHYCTLWD")
+        (INSTANCE PHY_CONTROL)
+        (TIMINGCHECK
+            (HOLD PHYCLK (posedge PHYCLK) (0.172::0.198))
+            (SETUP PHYCLK (posedge PHYCLK) (0.215::0.248))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_TESTINPUT")
+        (INSTANCE PHY_CONTROL)
+        (TIMINGCHECK
+            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.049::0.056))
+            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.244::0.281))
+        )
+    )
+    (CELL
+        (CELLTYPE "PHY_CONTROL_PHY_CONTROLPHY_CONTROL_TESTSELECT")
+        (INSTANCE PHY_CONTROL)
+        (TIMINGCHECK
+            (HOLD MEMREFCLK (posedge MEMREFCLK) (0.049::0.056))
+            (SETUP MEMREFCLK (posedge MEMREFCLK) (0.244::0.281))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/CMT_TOP_R_UPPER_T.sdf b/artix7/timings/CMT_TOP_R_UPPER_T.sdf
index 4632082..0628468 100644
--- a/artix7/timings/CMT_TOP_R_UPPER_T.sdf
+++ b/artix7/timings/CMT_TOP_R_UPPER_T.sdf
@@ -4,14 +4,44 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DADDR")
+        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV")
         (INSTANCE PLLE2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DCLK DRDY (0.286::0.304)(0.927::0.984))
+                (IOPATH RST LOCKED (3.000::3.000)(3.000::3.000))
+            )
+        )
         (TIMINGCHECK
+            (HOLD DEN (posedge DCLK) (0.000::0.000))
+            (SETUP DEN (posedge DCLK) (2.156::2.290))
             (HOLD DCLK (posedge DCLK) (0.141::0.150))
             (SETUP DCLK (posedge DCLK) (1.527::1.622))
         )
     )
     (CELL
+        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_BUF_IN")
+        (INSTANCE PLLE2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN1 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
+                (IOPATH CLKIN2 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
+            )
+        )
+    )
+    (CELL
         (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_EXTERNAL")
         (INSTANCE PLLE2_ADV)
         (DELAY
@@ -56,46 +86,6 @@
         )
     )
     (CELL
-        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DI")
-        (INSTANCE PLLE2_ADV)
-        (TIMINGCHECK
-            (HOLD DCLK (posedge DCLK) (0.141::0.150))
-            (SETUP DCLK (posedge DCLK) (1.527::1.622))
-        )
-    )
-    (CELL
-        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_00")
-        (INSTANCE PLLE2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
-                (IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_BUF_IN")
-        (INSTANCE PLLE2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLKIN1 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN1 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
-                (IOPATH CLKIN2 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_ZHOLD")
         (INSTANCE PLLE2_ADV)
         (DELAY
@@ -118,6 +108,32 @@
         )
     )
     (CELL
+        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DADDR")
+        (INSTANCE PLLE2_ADV)
+        (TIMINGCHECK
+            (HOLD DCLK (posedge DCLK) (0.141::0.150))
+            (SETUP DCLK (posedge DCLK) (1.527::1.622))
+        )
+    )
+    (CELL
+        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DI")
+        (INSTANCE PLLE2_ADV)
+        (TIMINGCHECK
+            (HOLD DCLK (posedge DCLK) (0.141::0.150))
+            (SETUP DCLK (posedge DCLK) (1.527::1.622))
+        )
+    )
+    (CELL
+        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_00")
+        (INSTANCE PLLE2_ADV)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
+                (IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
+            )
+        )
+    )
+    (CELL
         (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_01")
         (INSTANCE PLLE2_ADV)
         (DELAY
@@ -126,20 +142,4 @@
             )
         )
     )
-    (CELL
-        (CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV")
-        (INSTANCE PLLE2_ADV)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DCLK DRDY (0.286::0.304)(0.927::0.984))
-                (IOPATH RST LOCKED (3.000::3.000)(3.000::3.000))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD DEN (posedge DCLK) (0.000::0.000))
-            (SETUP DEN (posedge DCLK) (2.156::2.290))
-            (HOLD DWE (posedge DCLK) (0.141::0.150))
-            (SETUP DWE (posedge DCLK) (1.527::1.622))
-        )
-    )
 )
\ No newline at end of file
diff --git a/artix7/timings/GTP_CHANNEL_0.sdf b/artix7/timings/GTP_CHANNEL_0.sdf
index 53c53b4..5819892 100644
--- a/artix7/timings/GTP_CHANNEL_0.sdf
+++ b/artix7/timings/GTP_CHANNEL_0.sdf
@@ -4,174 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.121::0.129))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.444::0.472))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK (posedge TXUSRCLK) (0.121::0.129))
-            (SETUP TXUSRCLK (posedge TXUSRCLK) (0.444::0.472))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
-            (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
-            (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
         (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL")
         (INSTANCE GTPE2_CHANNEL)
         (DELAY
@@ -212,79 +44,55 @@
             (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.105::0.111))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXCHBONDEN (posedge RXUSRCLK2) (0.107::0.114))
-            (SETUP RXCHBONDEN (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.097::0.103))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.107::0.114))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXCHBONDMASTER (posedge RXUSRCLK2) (0.097::0.103))
+            (SETUP RXCHBONDMASTER (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.098::0.104))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXCOMMADETEN (posedge RXUSRCLK2) (0.100::0.106))
-            (SETUP RXCOMMADETEN (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXGEARBOXSLIP (posedge RXUSRCLK2) (0.095::0.101))
-            (SETUP RXGEARBOXSLIP (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.100::0.106))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.095::0.101))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.129::0.137))
             (SETUP RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXPOLARITY (posedge RXUSRCLK2) (0.116::0.123))
-            (SETUP RXPOLARITY (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXPRBSCNTRESET (posedge RXUSRCLK2) (0.132::0.140))
-            (SETUP RXPRBSCNTRESET (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.133::0.141))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.116::0.123))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXSLIDE (posedge RXUSRCLK2) (0.133::0.141))
+            (SETUP RXSLIDE (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
             (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
             (HOLD SCANMODEB (posedge SCANCLK) (0.723::0.832))
             (SETUP SCANMODEB (posedge SCANCLK) (1.220::1.404))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.209::0.246))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.163))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD SETERRSTATUS (posedge RXUSRCLK2) (0.209::0.246))
+            (SETUP SETERRSTATUS (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD TX8B10BEN (posedge TXUSRCLK2) (0.153::0.163))
+            (SETUP TX8B10BEN (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD TXCOMINIT (posedge TXUSRCLK2) (0.172::0.183))
+            (SETUP TXCOMINIT (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXCOMSAS (posedge TXUSRCLK2) (0.136::0.144))
             (SETUP TXCOMSAS (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXDETECTRX (posedge TXUSRCLK2) (0.169::0.179))
-            (SETUP TXDETECTRX (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.169::0.179))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.387::0.617))
             (SETUP TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.581::0.925))
-            (HOLD TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.387::0.617))
-            (SETUP TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.581::0.925))
+            (HOLD TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.387::0.617))
+            (SETUP TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.581::0.925))
             (HOLD TXELECIDLE (posedge TXUSRCLK2) (0.072::0.076))
             (SETUP TXELECIDLE (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXINHIBIT (posedge TXUSRCLK2) (0.153::0.162))
             (SETUP TXINHIBIT (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.143::0.152))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXPRBSFORCEERR (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXPRBSFORCEERR (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXSTARTSEQ (posedge TXUSRCLK2) (0.148::0.157))
-            (SETUP TXSTARTSEQ (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.148::0.157))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
         )
     )
@@ -297,7 +105,31 @@
         )
     )
     (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
+            (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
         (INSTANCE GTPE2_CHANNEL)
         (TIMINGCHECK
             (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
@@ -313,6 +145,142 @@
         )
     )
     (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
+            (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXPIPPMEN (posedge TXUSRCLK) (0.121::0.129))
+            (SETUP TXPIPPMEN (posedge TXUSRCLK) (0.444::0.472))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXPIPPMEN (posedge TXUSRCLK2) (0.121::0.129))
+            (SETUP TXPIPPMEN (posedge TXUSRCLK2) (0.444::0.472))
+        )
+    )
+    (CELL
         (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK")
         (INSTANCE GTPE2_CHANNEL)
         (TIMINGCHECK
@@ -320,4 +288,36 @@
             (SETUP TXUSRCLK (posedge TXUSRCLK) (0.466::0.495))
         )
     )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/GTP_CHANNEL_1.sdf b/artix7/timings/GTP_CHANNEL_1.sdf
index 53c53b4..5819892 100644
--- a/artix7/timings/GTP_CHANNEL_1.sdf
+++ b/artix7/timings/GTP_CHANNEL_1.sdf
@@ -4,174 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.121::0.129))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.444::0.472))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK (posedge TXUSRCLK) (0.121::0.129))
-            (SETUP TXUSRCLK (posedge TXUSRCLK) (0.444::0.472))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
-            (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
-            (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
         (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL")
         (INSTANCE GTPE2_CHANNEL)
         (DELAY
@@ -212,79 +44,55 @@
             (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.105::0.111))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXCHBONDEN (posedge RXUSRCLK2) (0.107::0.114))
-            (SETUP RXCHBONDEN (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.097::0.103))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.107::0.114))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXCHBONDMASTER (posedge RXUSRCLK2) (0.097::0.103))
+            (SETUP RXCHBONDMASTER (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.098::0.104))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXCOMMADETEN (posedge RXUSRCLK2) (0.100::0.106))
-            (SETUP RXCOMMADETEN (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXGEARBOXSLIP (posedge RXUSRCLK2) (0.095::0.101))
-            (SETUP RXGEARBOXSLIP (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.100::0.106))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.095::0.101))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.129::0.137))
             (SETUP RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXPOLARITY (posedge RXUSRCLK2) (0.116::0.123))
-            (SETUP RXPOLARITY (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXPRBSCNTRESET (posedge RXUSRCLK2) (0.132::0.140))
-            (SETUP RXPRBSCNTRESET (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.133::0.141))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.116::0.123))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXSLIDE (posedge RXUSRCLK2) (0.133::0.141))
+            (SETUP RXSLIDE (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
             (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
             (HOLD SCANMODEB (posedge SCANCLK) (0.723::0.832))
             (SETUP SCANMODEB (posedge SCANCLK) (1.220::1.404))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.209::0.246))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.163))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD SETERRSTATUS (posedge RXUSRCLK2) (0.209::0.246))
+            (SETUP SETERRSTATUS (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD TX8B10BEN (posedge TXUSRCLK2) (0.153::0.163))
+            (SETUP TX8B10BEN (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD TXCOMINIT (posedge TXUSRCLK2) (0.172::0.183))
+            (SETUP TXCOMINIT (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXCOMSAS (posedge TXUSRCLK2) (0.136::0.144))
             (SETUP TXCOMSAS (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXDETECTRX (posedge TXUSRCLK2) (0.169::0.179))
-            (SETUP TXDETECTRX (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.169::0.179))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.387::0.617))
             (SETUP TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.581::0.925))
-            (HOLD TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.387::0.617))
-            (SETUP TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.581::0.925))
+            (HOLD TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.387::0.617))
+            (SETUP TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.581::0.925))
             (HOLD TXELECIDLE (posedge TXUSRCLK2) (0.072::0.076))
             (SETUP TXELECIDLE (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXINHIBIT (posedge TXUSRCLK2) (0.153::0.162))
             (SETUP TXINHIBIT (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.143::0.152))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXPRBSFORCEERR (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXPRBSFORCEERR (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXSTARTSEQ (posedge TXUSRCLK2) (0.148::0.157))
-            (SETUP TXSTARTSEQ (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.148::0.157))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
         )
     )
@@ -297,7 +105,31 @@
         )
     )
     (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
+            (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
         (INSTANCE GTPE2_CHANNEL)
         (TIMINGCHECK
             (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
@@ -313,6 +145,142 @@
         )
     )
     (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
+            (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXPIPPMEN (posedge TXUSRCLK) (0.121::0.129))
+            (SETUP TXPIPPMEN (posedge TXUSRCLK) (0.444::0.472))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXPIPPMEN (posedge TXUSRCLK2) (0.121::0.129))
+            (SETUP TXPIPPMEN (posedge TXUSRCLK2) (0.444::0.472))
+        )
+    )
+    (CELL
         (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK")
         (INSTANCE GTPE2_CHANNEL)
         (TIMINGCHECK
@@ -320,4 +288,36 @@
             (SETUP TXUSRCLK (posedge TXUSRCLK) (0.466::0.495))
         )
     )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/GTP_CHANNEL_2.sdf b/artix7/timings/GTP_CHANNEL_2.sdf
index 53c53b4..5819892 100644
--- a/artix7/timings/GTP_CHANNEL_2.sdf
+++ b/artix7/timings/GTP_CHANNEL_2.sdf
@@ -4,174 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.121::0.129))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.444::0.472))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK (posedge TXUSRCLK) (0.121::0.129))
-            (SETUP TXUSRCLK (posedge TXUSRCLK) (0.444::0.472))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
-            (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
-            (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
         (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL")
         (INSTANCE GTPE2_CHANNEL)
         (DELAY
@@ -212,79 +44,55 @@
             (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.105::0.111))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXCHBONDEN (posedge RXUSRCLK2) (0.107::0.114))
-            (SETUP RXCHBONDEN (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.097::0.103))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.107::0.114))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXCHBONDMASTER (posedge RXUSRCLK2) (0.097::0.103))
+            (SETUP RXCHBONDMASTER (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.098::0.104))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXCOMMADETEN (posedge RXUSRCLK2) (0.100::0.106))
-            (SETUP RXCOMMADETEN (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXGEARBOXSLIP (posedge RXUSRCLK2) (0.095::0.101))
-            (SETUP RXGEARBOXSLIP (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.100::0.106))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.095::0.101))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.129::0.137))
             (SETUP RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXPOLARITY (posedge RXUSRCLK2) (0.116::0.123))
-            (SETUP RXPOLARITY (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXPRBSCNTRESET (posedge RXUSRCLK2) (0.132::0.140))
-            (SETUP RXPRBSCNTRESET (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.133::0.141))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.116::0.123))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXSLIDE (posedge RXUSRCLK2) (0.133::0.141))
+            (SETUP RXSLIDE (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
             (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
             (HOLD SCANMODEB (posedge SCANCLK) (0.723::0.832))
             (SETUP SCANMODEB (posedge SCANCLK) (1.220::1.404))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.209::0.246))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.163))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD SETERRSTATUS (posedge RXUSRCLK2) (0.209::0.246))
+            (SETUP SETERRSTATUS (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD TX8B10BEN (posedge TXUSRCLK2) (0.153::0.163))
+            (SETUP TX8B10BEN (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD TXCOMINIT (posedge TXUSRCLK2) (0.172::0.183))
+            (SETUP TXCOMINIT (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXCOMSAS (posedge TXUSRCLK2) (0.136::0.144))
             (SETUP TXCOMSAS (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXDETECTRX (posedge TXUSRCLK2) (0.169::0.179))
-            (SETUP TXDETECTRX (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.169::0.179))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.387::0.617))
             (SETUP TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.581::0.925))
-            (HOLD TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.387::0.617))
-            (SETUP TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.581::0.925))
+            (HOLD TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.387::0.617))
+            (SETUP TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.581::0.925))
             (HOLD TXELECIDLE (posedge TXUSRCLK2) (0.072::0.076))
             (SETUP TXELECIDLE (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXINHIBIT (posedge TXUSRCLK2) (0.153::0.162))
             (SETUP TXINHIBIT (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.143::0.152))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXPRBSFORCEERR (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXPRBSFORCEERR (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXSTARTSEQ (posedge TXUSRCLK2) (0.148::0.157))
-            (SETUP TXSTARTSEQ (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.148::0.157))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
         )
     )
@@ -297,7 +105,31 @@
         )
     )
     (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
+            (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
         (INSTANCE GTPE2_CHANNEL)
         (TIMINGCHECK
             (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
@@ -313,6 +145,142 @@
         )
     )
     (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
+            (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXPIPPMEN (posedge TXUSRCLK) (0.121::0.129))
+            (SETUP TXPIPPMEN (posedge TXUSRCLK) (0.444::0.472))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXPIPPMEN (posedge TXUSRCLK2) (0.121::0.129))
+            (SETUP TXPIPPMEN (posedge TXUSRCLK2) (0.444::0.472))
+        )
+    )
+    (CELL
         (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK")
         (INSTANCE GTPE2_CHANNEL)
         (TIMINGCHECK
@@ -320,4 +288,36 @@
             (SETUP TXUSRCLK (posedge TXUSRCLK) (0.466::0.495))
         )
     )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/GTP_CHANNEL_3.sdf b/artix7/timings/GTP_CHANNEL_3.sdf
index 53c53b4..5819892 100644
--- a/artix7/timings/GTP_CHANNEL_3.sdf
+++ b/artix7/timings/GTP_CHANNEL_3.sdf
@@ -4,174 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.121::0.129))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.444::0.472))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK (posedge TXUSRCLK) (0.121::0.129))
-            (SETUP TXUSRCLK (posedge TXUSRCLK) (0.444::0.472))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
-            (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
-            (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
         (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL")
         (INSTANCE GTPE2_CHANNEL)
         (DELAY
@@ -212,79 +44,55 @@
             (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.105::0.111))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXCHBONDEN (posedge RXUSRCLK2) (0.107::0.114))
-            (SETUP RXCHBONDEN (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.097::0.103))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.107::0.114))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXCHBONDMASTER (posedge RXUSRCLK2) (0.097::0.103))
+            (SETUP RXCHBONDMASTER (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.098::0.104))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXCOMMADETEN (posedge RXUSRCLK2) (0.100::0.106))
-            (SETUP RXCOMMADETEN (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXGEARBOXSLIP (posedge RXUSRCLK2) (0.095::0.101))
-            (SETUP RXGEARBOXSLIP (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.100::0.106))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.095::0.101))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.129::0.137))
             (SETUP RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXPOLARITY (posedge RXUSRCLK2) (0.116::0.123))
-            (SETUP RXPOLARITY (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXPRBSCNTRESET (posedge RXUSRCLK2) (0.132::0.140))
-            (SETUP RXPRBSCNTRESET (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.133::0.141))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.116::0.123))
             (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD RXSLIDE (posedge RXUSRCLK2) (0.133::0.141))
+            (SETUP RXSLIDE (posedge RXUSRCLK2) (0.680::0.722))
             (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
             (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
             (HOLD SCANMODEB (posedge SCANCLK) (0.723::0.832))
             (SETUP SCANMODEB (posedge SCANCLK) (1.220::1.404))
-            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.209::0.246))
-            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.163))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
-            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD SETERRSTATUS (posedge RXUSRCLK2) (0.209::0.246))
+            (SETUP SETERRSTATUS (posedge RXUSRCLK2) (0.680::0.722))
+            (HOLD TX8B10BEN (posedge TXUSRCLK2) (0.153::0.163))
+            (SETUP TX8B10BEN (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD TXCOMINIT (posedge TXUSRCLK2) (0.172::0.183))
+            (SETUP TXCOMINIT (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXCOMSAS (posedge TXUSRCLK2) (0.136::0.144))
             (SETUP TXCOMSAS (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXDETECTRX (posedge TXUSRCLK2) (0.169::0.179))
-            (SETUP TXDETECTRX (posedge TXUSRCLK2) (0.680::0.722))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.169::0.179))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.387::0.617))
             (SETUP TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.581::0.925))
-            (HOLD TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.387::0.617))
-            (SETUP TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.581::0.925))
+            (HOLD TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.387::0.617))
+            (SETUP TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.581::0.925))
             (HOLD TXELECIDLE (posedge TXUSRCLK2) (0.072::0.076))
             (SETUP TXELECIDLE (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXINHIBIT (posedge TXUSRCLK2) (0.153::0.162))
             (SETUP TXINHIBIT (posedge TXUSRCLK2) (0.680::0.722))
             (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.143::0.152))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXPRBSFORCEERR (posedge TXUSRCLK2) (0.160::0.170))
-            (SETUP TXPRBSFORCEERR (posedge TXUSRCLK2) (0.680::0.722))
-            (HOLD TXSTARTSEQ (posedge TXUSRCLK2) (0.148::0.157))
-            (SETUP TXSTARTSEQ (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
-            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
-        )
-    )
-    (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
-        (INSTANCE GTPE2_CHANNEL)
-        (TIMINGCHECK
-            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.148::0.157))
             (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
         )
     )
@@ -297,7 +105,31 @@
         )
     )
     (CELL
-        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
+            (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
         (INSTANCE GTPE2_CHANNEL)
         (TIMINGCHECK
             (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
@@ -313,6 +145,142 @@
         )
     )
     (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
+            (SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
+            (SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
+            (SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXPIPPMEN (posedge TXUSRCLK) (0.121::0.129))
+            (SETUP TXPIPPMEN (posedge TXUSRCLK) (0.444::0.472))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXPIPPMEN (posedge TXUSRCLK2) (0.121::0.129))
+            (SETUP TXPIPPMEN (posedge TXUSRCLK2) (0.444::0.472))
+        )
+    )
+    (CELL
         (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK")
         (INSTANCE GTPE2_CHANNEL)
         (TIMINGCHECK
@@ -320,4 +288,36 @@
             (SETUP TXUSRCLK (posedge TXUSRCLK) (0.466::0.495))
         )
     )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
+    (CELL
+        (CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
+        (INSTANCE GTPE2_CHANNEL)
+        (TIMINGCHECK
+            (HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
+            (SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/GTP_COMMON.sdf b/artix7/timings/GTP_COMMON.sdf
index f0f0f0c..595a281 100644
--- a/artix7/timings/GTP_COMMON.sdf
+++ b/artix7/timings/GTP_COMMON.sdf
@@ -4,18 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "IBUFDS_GTE2_IBUFDS_GTE2IBUFDS_GTE2")
-        (INSTANCE IBUFDS_GTE2)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH I O (0.441::0.732)(1.616::2.684))
-                (IOPATH I ODIV2 (0.441::0.732)(1.616::2.684))
-                (IOPATH IB O (0.441::0.732)(1.616::2.684))
-                (IOPATH IB ODIV2 (0.441::0.732)(1.616::2.684))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "GTPE2_COMMON_GTPE2_COMMONGTPE2_COMMON")
         (INSTANCE GTPE2_COMMON)
         (DELAY
@@ -44,6 +32,14 @@
         )
     )
     (CELL
+        (CELLTYPE "GTPE2_COMMON_GTPE2_COMMONGTPE2_COMMON_DRPADDR")
+        (INSTANCE GTPE2_COMMON)
+        (TIMINGCHECK
+            (HOLD DRPCLK (posedge DRPCLK) (0.172::0.183))
+            (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
+        )
+    )
+    (CELL
         (CELLTYPE "GTPE2_COMMON_GTPE2_COMMONGTPE2_COMMON_DRPDI")
         (INSTANCE GTPE2_COMMON)
         (TIMINGCHECK
@@ -52,11 +48,15 @@
         )
     )
     (CELL
-        (CELLTYPE "GTPE2_COMMON_GTPE2_COMMONGTPE2_COMMON_DRPADDR")
-        (INSTANCE GTPE2_COMMON)
-        (TIMINGCHECK
-            (HOLD DRPCLK (posedge DRPCLK) (0.172::0.183))
-            (SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
+        (CELLTYPE "IBUFDS_GTE2_IBUFDS_GTE2IBUFDS_GTE2")
+        (INSTANCE IBUFDS_GTE2)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH I O (0.441::0.732)(1.616::2.684))
+                (IOPATH I ODIV2 (0.441::0.732)(1.616::2.684))
+                (IOPATH IB O (0.441::0.732)(1.616::2.684))
+                (IOPATH IB ODIV2 (0.441::0.732)(1.616::2.684))
+            )
         )
     )
 )
\ No newline at end of file
diff --git a/artix7/timings/HCLK_CMT.sdf b/artix7/timings/HCLK_CMT.sdf
index 982c90d..fb29734 100644
--- a/artix7/timings/HCLK_CMT.sdf
+++ b/artix7/timings/HCLK_CMT.sdf
@@ -4,22 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_1")
-        (INSTANCE BUFMRCE)
-        (TIMINGCHECK
-            (HOLD I (posedge I) (0.197::0.224))
-            (SETUP I (posedge I) (0.172::0.195))
-        )
-    )
-    (CELL
-        (CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_0")
-        (INSTANCE BUFMRCE)
-        (TIMINGCHECK
-            (HOLD I (posedge I) (0.197::0.224))
-            (SETUP I (posedge I) (0.172::0.195))
-        )
-    )
-    (CELL
         (CELLTYPE "BUFMRCE")
         (INSTANCE BUFMRCE)
         (DELAY
@@ -28,4 +12,20 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_0")
+        (INSTANCE BUFMRCE)
+        (TIMINGCHECK
+            (HOLD CE (posedge I) (0.197::0.224))
+            (SETUP CE (posedge I) (0.172::0.195))
+        )
+    )
+    (CELL
+        (CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_1")
+        (INSTANCE BUFMRCE)
+        (TIMINGCHECK
+            (HOLD CE (posedge I) (0.197::0.224))
+            (SETUP CE (posedge I) (0.172::0.195))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/HCLK_CMT_L.sdf b/artix7/timings/HCLK_CMT_L.sdf
index 982c90d..fb29734 100644
--- a/artix7/timings/HCLK_CMT_L.sdf
+++ b/artix7/timings/HCLK_CMT_L.sdf
@@ -4,22 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_1")
-        (INSTANCE BUFMRCE)
-        (TIMINGCHECK
-            (HOLD I (posedge I) (0.197::0.224))
-            (SETUP I (posedge I) (0.172::0.195))
-        )
-    )
-    (CELL
-        (CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_0")
-        (INSTANCE BUFMRCE)
-        (TIMINGCHECK
-            (HOLD I (posedge I) (0.197::0.224))
-            (SETUP I (posedge I) (0.172::0.195))
-        )
-    )
-    (CELL
         (CELLTYPE "BUFMRCE")
         (INSTANCE BUFMRCE)
         (DELAY
@@ -28,4 +12,20 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_0")
+        (INSTANCE BUFMRCE)
+        (TIMINGCHECK
+            (HOLD CE (posedge I) (0.197::0.224))
+            (SETUP CE (posedge I) (0.172::0.195))
+        )
+    )
+    (CELL
+        (CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_1")
+        (INSTANCE BUFMRCE)
+        (TIMINGCHECK
+            (HOLD CE (posedge I) (0.197::0.224))
+            (SETUP CE (posedge I) (0.172::0.195))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/HCLK_IOI3.sdf b/artix7/timings/HCLK_IOI3.sdf
index 76ab3e6..4acc78e 100644
--- a/artix7/timings/HCLK_IOI3.sdf
+++ b/artix7/timings/HCLK_IOI3.sdf
@@ -4,6 +4,15 @@
     (TIMESCALE 1ps)
 
     (CELL
+        (CELLTYPE "BUFIO_DELAY_BYPASS_FALSE")
+        (INSTANCE BUFIO)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH I O (0.483::0.516)(1.431::1.532))
+            )
+        )
+    )
+    (CELL
         (CELLTYPE "BUFIO_DELAY_BYPASS_TRUE")
         (INSTANCE BUFIO)
         (DELAY
@@ -13,11 +22,12 @@
         )
     )
     (CELL
-        (CELLTYPE "BUFIO_DELAY_BYPASS_FALSE")
-        (INSTANCE BUFIO)
+        (CELLTYPE "BUFR_BUFR_DIVIDE_1")
+        (INSTANCE BUFR)
         (DELAY
             (ABSOLUTE
-                (IOPATH I O (0.483::0.516)(1.431::1.532))
+                (IOPATH CLR O (0.273::0.314)(0.942::1.084))
+                (IOPATH I O (0.270::0.431)(0.918::0.982))
             )
         )
     )
@@ -32,16 +42,6 @@
         )
     )
     (CELL
-        (CELLTYPE "BUFR_BUFR_DIVIDE_BYPASS")
-        (INSTANCE BUFR)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLR O (0.273::0.314)(0.942::1.084))
-                (IOPATH I O (0.092::0.254)(0.486::0.511))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "BUFR_BUFR_DIVIDE_3")
         (INSTANCE BUFR)
         (DELAY
@@ -52,36 +52,6 @@
         )
     )
     (CELL
-        (CELLTYPE "BUFR_BUFR_DIVIDE_6")
-        (INSTANCE BUFR)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLR O (0.273::0.314)(0.942::1.084))
-                (IOPATH I O (0.270::0.431)(0.918::0.982))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "BUFR_BUFR_DIVIDE_7")
-        (INSTANCE BUFR)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLR O (0.273::0.314)(0.942::1.084))
-                (IOPATH I O (0.270::0.431)(0.918::0.982))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "BUFR_BUFR_DIVIDE_1")
-        (INSTANCE BUFR)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLR O (0.273::0.314)(0.942::1.084))
-                (IOPATH I O (0.270::0.431)(0.918::0.982))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "BUFR_BUFR_DIVIDE_4")
         (INSTANCE BUFR)
         (DELAY
@@ -102,6 +72,26 @@
         )
     )
     (CELL
+        (CELLTYPE "BUFR_BUFR_DIVIDE_6")
+        (INSTANCE BUFR)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLR O (0.273::0.314)(0.942::1.084))
+                (IOPATH I O (0.270::0.431)(0.918::0.982))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "BUFR_BUFR_DIVIDE_7")
+        (INSTANCE BUFR)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLR O (0.273::0.314)(0.942::1.084))
+                (IOPATH I O (0.270::0.431)(0.918::0.982))
+            )
+        )
+    )
+    (CELL
         (CELLTYPE "BUFR_BUFR_DIVIDE_8")
         (INSTANCE BUFR)
         (DELAY
@@ -112,6 +102,16 @@
         )
     )
     (CELL
+        (CELLTYPE "BUFR_BUFR_DIVIDE_BYPASS")
+        (INSTANCE BUFR)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLR O (0.273::0.314)(0.942::1.084))
+                (IOPATH I O (0.092::0.254)(0.486::0.511))
+            )
+        )
+    )
+    (CELL
         (CELLTYPE "IDELAYCTRL")
         (INSTANCE IDELAYCTRL)
         (DELAY
diff --git a/artix7/timings/LIOB33.sdf b/artix7/timings/LIOB33.sdf
index 035c135..1a3e8c5 100644
--- a/artix7/timings/LIOB33.sdf
+++ b/artix7/timings/LIOB33.sdf
@@ -4,15 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "IOB33S_INBUF_ENIOB33_IOBS_INBUF_EN")
-        (INSTANCE IOB33S)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH IBUFDISABLE OUT (0.340::0.391)(1.027::1.182))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "IOB33M_INBUF_ENIOB33_IOBM_INBUF_EN")
         (INSTANCE IOB33M)
         (DELAY
@@ -21,4 +12,13 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "IOB33S_INBUF_ENIOB33_IOBS_INBUF_EN")
+        (INSTANCE IOB33S)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH IBUFDISABLE OUT (0.340::0.391)(1.027::1.182))
+            )
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/LIOI3.sdf b/artix7/timings/LIOI3.sdf
index f22fe8e..28404dd 100644
--- a/artix7/timings/LIOI3.sdf
+++ b/artix7/timings/LIOI3.sdf
@@ -4,205 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
+        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.059::-0.051))
-            (SETUP CK (posedge CK) (0.380::0.504))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.142::-0.124))
-            (RECOVERY CK (posedge CK) (0.261::0.300))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.651::0.798))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.697::0.873))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.352::0.405))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.351::0.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.707::0.885))
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.318::-0.277))
-            (RECOVERY CK (posedge CK) (0.249::0.286))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.471::0.591))
+            (HOLD C (posedge C) (0.128::0.138))
+            (SETUP C (posedge C) (0.094::0.102))
         )
     )
     (CELL
@@ -214,42 +20,6 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.108::0.116))
-            (SETUP C (posedge C) (0.031::0.033))
-            (HOLD REGRST (posedge C) (0.122::0.132))
-            (SETUP REGRST (posedge C) (0.158::0.172))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
         (CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
         (INSTANCE IDELAYE2)
         (DELAY
@@ -268,41 +38,61 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
         (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD C (posedge C) (0.128::0.138))
-            (SETUP C (posedge C) (0.094::0.102))
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LDPIPEEN (posedge C) (0.108::0.116))
+            (SETUP LDPIPEEN (posedge C) (0.031::0.033))
+            (HOLD REGRST (posedge C) (0.122::0.132))
+            (SETUP REGRST (posedge C) (0.158::0.172))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_RECOV")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (SETUP CK (posedge CK) (0.518::0.596))
+            (HOLD CE (posedge CK) (-0.076::-0.066))
+            (SETUP CE (posedge CK) (0.430::0.726))
+            (HOLD SR (posedge CK) (-0.077::-0.066))
+            (SETUP SR (posedge CK) (0.764::0.922))
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
+        (CELLTYPE "ILOGICE3_IFF_DDR")
         (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
-            )
+        (TIMINGCHECK
+            (HOLD CKB (posedge CKB) (-0.076::-0.066))
+            (SETUP CKB (posedge CKB) (0.430::0.726))
+            (HOLD D (posedge CK) (0.022::0.026))
+            (SETUP D (posedge CK) (0.091::0.105))
+            (HOLD CKB (posedge CKB) (0.022::0.026))
+            (SETUP CKB (posedge CKB) (0.091::0.105))
         )
     )
     (CELL
@@ -320,44 +110,10 @@
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_DDR")
+        (CELLTYPE "ILOGICE3_IFF_HOLD")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (HOLD CKB (posedge CKB) (-0.076::-0.066))
-            (SETUP CKB (posedge CKB) (0.430::0.726))
-            (HOLD D (posedge CK) (0.022::0.026))
-            (SETUP D (posedge CK) (0.091::0.105))
-            (HOLD CKB (posedge CKB) (0.022::0.026))
-            (SETUP CKB (posedge CKB) (0.091::0.105))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_REMOV")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.409::-0.357))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.076::-0.066))
-            (SETUP CK (posedge CK) (0.430::0.726))
-            (HOLD CK (posedge CK) (-0.077::-0.066))
-            (SETUP CK (posedge CK) (0.764::0.922))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+            (REMOVAL SR (posedge CK) (-0.409::-0.357))
         )
     )
     (CELL
@@ -387,4 +143,248 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SETUP")
+        (INSTANCE ILOGICE3)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CK) (0.518::0.596))
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.142::-0.124))
+            (RECOVERY SR (posedge CK) (0.261::0.300))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.651::0.798))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.351::0.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.059::-0.051))
+            (SETUP CK (posedge CK) (0.380::0.504))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.318::-0.277))
+            (RECOVERY SR (posedge CK) (0.249::0.286))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.471::0.591))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.707::0.885))
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.697::0.873))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.352::0.405))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/LIOI3_SING.sdf b/artix7/timings/LIOI3_SING.sdf
index f22fe8e..28404dd 100644
--- a/artix7/timings/LIOI3_SING.sdf
+++ b/artix7/timings/LIOI3_SING.sdf
@@ -4,205 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
+        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.059::-0.051))
-            (SETUP CK (posedge CK) (0.380::0.504))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.142::-0.124))
-            (RECOVERY CK (posedge CK) (0.261::0.300))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.651::0.798))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.697::0.873))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.352::0.405))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.351::0.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.707::0.885))
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.318::-0.277))
-            (RECOVERY CK (posedge CK) (0.249::0.286))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.471::0.591))
+            (HOLD C (posedge C) (0.128::0.138))
+            (SETUP C (posedge C) (0.094::0.102))
         )
     )
     (CELL
@@ -214,42 +20,6 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.108::0.116))
-            (SETUP C (posedge C) (0.031::0.033))
-            (HOLD REGRST (posedge C) (0.122::0.132))
-            (SETUP REGRST (posedge C) (0.158::0.172))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
         (CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
         (INSTANCE IDELAYE2)
         (DELAY
@@ -268,41 +38,61 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
         (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD C (posedge C) (0.128::0.138))
-            (SETUP C (posedge C) (0.094::0.102))
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LDPIPEEN (posedge C) (0.108::0.116))
+            (SETUP LDPIPEEN (posedge C) (0.031::0.033))
+            (HOLD REGRST (posedge C) (0.122::0.132))
+            (SETUP REGRST (posedge C) (0.158::0.172))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_RECOV")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (SETUP CK (posedge CK) (0.518::0.596))
+            (HOLD CE (posedge CK) (-0.076::-0.066))
+            (SETUP CE (posedge CK) (0.430::0.726))
+            (HOLD SR (posedge CK) (-0.077::-0.066))
+            (SETUP SR (posedge CK) (0.764::0.922))
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
+        (CELLTYPE "ILOGICE3_IFF_DDR")
         (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
-            )
+        (TIMINGCHECK
+            (HOLD CKB (posedge CKB) (-0.076::-0.066))
+            (SETUP CKB (posedge CKB) (0.430::0.726))
+            (HOLD D (posedge CK) (0.022::0.026))
+            (SETUP D (posedge CK) (0.091::0.105))
+            (HOLD CKB (posedge CKB) (0.022::0.026))
+            (SETUP CKB (posedge CKB) (0.091::0.105))
         )
     )
     (CELL
@@ -320,44 +110,10 @@
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_DDR")
+        (CELLTYPE "ILOGICE3_IFF_HOLD")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (HOLD CKB (posedge CKB) (-0.076::-0.066))
-            (SETUP CKB (posedge CKB) (0.430::0.726))
-            (HOLD D (posedge CK) (0.022::0.026))
-            (SETUP D (posedge CK) (0.091::0.105))
-            (HOLD CKB (posedge CKB) (0.022::0.026))
-            (SETUP CKB (posedge CKB) (0.091::0.105))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_REMOV")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.409::-0.357))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.076::-0.066))
-            (SETUP CK (posedge CK) (0.430::0.726))
-            (HOLD CK (posedge CK) (-0.077::-0.066))
-            (SETUP CK (posedge CK) (0.764::0.922))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+            (REMOVAL SR (posedge CK) (-0.409::-0.357))
         )
     )
     (CELL
@@ -387,4 +143,248 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SETUP")
+        (INSTANCE ILOGICE3)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CK) (0.518::0.596))
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.142::-0.124))
+            (RECOVERY SR (posedge CK) (0.261::0.300))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.651::0.798))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.351::0.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.059::-0.051))
+            (SETUP CK (posedge CK) (0.380::0.504))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.318::-0.277))
+            (RECOVERY SR (posedge CK) (0.249::0.286))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.471::0.591))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.707::0.885))
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.697::0.873))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.352::0.405))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/LIOI3_TBYTESRC.sdf b/artix7/timings/LIOI3_TBYTESRC.sdf
index f22fe8e..28404dd 100644
--- a/artix7/timings/LIOI3_TBYTESRC.sdf
+++ b/artix7/timings/LIOI3_TBYTESRC.sdf
@@ -4,205 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
+        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.059::-0.051))
-            (SETUP CK (posedge CK) (0.380::0.504))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.142::-0.124))
-            (RECOVERY CK (posedge CK) (0.261::0.300))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.651::0.798))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.697::0.873))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.352::0.405))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.351::0.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.707::0.885))
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.318::-0.277))
-            (RECOVERY CK (posedge CK) (0.249::0.286))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.471::0.591))
+            (HOLD C (posedge C) (0.128::0.138))
+            (SETUP C (posedge C) (0.094::0.102))
         )
     )
     (CELL
@@ -214,42 +20,6 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.108::0.116))
-            (SETUP C (posedge C) (0.031::0.033))
-            (HOLD REGRST (posedge C) (0.122::0.132))
-            (SETUP REGRST (posedge C) (0.158::0.172))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
         (CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
         (INSTANCE IDELAYE2)
         (DELAY
@@ -268,41 +38,61 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
         (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD C (posedge C) (0.128::0.138))
-            (SETUP C (posedge C) (0.094::0.102))
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LDPIPEEN (posedge C) (0.108::0.116))
+            (SETUP LDPIPEEN (posedge C) (0.031::0.033))
+            (HOLD REGRST (posedge C) (0.122::0.132))
+            (SETUP REGRST (posedge C) (0.158::0.172))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_RECOV")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (SETUP CK (posedge CK) (0.518::0.596))
+            (HOLD CE (posedge CK) (-0.076::-0.066))
+            (SETUP CE (posedge CK) (0.430::0.726))
+            (HOLD SR (posedge CK) (-0.077::-0.066))
+            (SETUP SR (posedge CK) (0.764::0.922))
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
+        (CELLTYPE "ILOGICE3_IFF_DDR")
         (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
-            )
+        (TIMINGCHECK
+            (HOLD CKB (posedge CKB) (-0.076::-0.066))
+            (SETUP CKB (posedge CKB) (0.430::0.726))
+            (HOLD D (posedge CK) (0.022::0.026))
+            (SETUP D (posedge CK) (0.091::0.105))
+            (HOLD CKB (posedge CKB) (0.022::0.026))
+            (SETUP CKB (posedge CKB) (0.091::0.105))
         )
     )
     (CELL
@@ -320,44 +110,10 @@
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_DDR")
+        (CELLTYPE "ILOGICE3_IFF_HOLD")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (HOLD CKB (posedge CKB) (-0.076::-0.066))
-            (SETUP CKB (posedge CKB) (0.430::0.726))
-            (HOLD D (posedge CK) (0.022::0.026))
-            (SETUP D (posedge CK) (0.091::0.105))
-            (HOLD CKB (posedge CKB) (0.022::0.026))
-            (SETUP CKB (posedge CKB) (0.091::0.105))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_REMOV")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.409::-0.357))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.076::-0.066))
-            (SETUP CK (posedge CK) (0.430::0.726))
-            (HOLD CK (posedge CK) (-0.077::-0.066))
-            (SETUP CK (posedge CK) (0.764::0.922))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+            (REMOVAL SR (posedge CK) (-0.409::-0.357))
         )
     )
     (CELL
@@ -387,4 +143,248 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SETUP")
+        (INSTANCE ILOGICE3)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CK) (0.518::0.596))
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.142::-0.124))
+            (RECOVERY SR (posedge CK) (0.261::0.300))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.651::0.798))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.351::0.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.059::-0.051))
+            (SETUP CK (posedge CK) (0.380::0.504))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.318::-0.277))
+            (RECOVERY SR (posedge CK) (0.249::0.286))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.471::0.591))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.707::0.885))
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.697::0.873))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.352::0.405))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/LIOI3_TBYTETERM.sdf b/artix7/timings/LIOI3_TBYTETERM.sdf
index f22fe8e..28404dd 100644
--- a/artix7/timings/LIOI3_TBYTETERM.sdf
+++ b/artix7/timings/LIOI3_TBYTETERM.sdf
@@ -4,205 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
+        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.059::-0.051))
-            (SETUP CK (posedge CK) (0.380::0.504))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.142::-0.124))
-            (RECOVERY CK (posedge CK) (0.261::0.300))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.651::0.798))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.697::0.873))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.352::0.405))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.351::0.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.707::0.885))
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.318::-0.277))
-            (RECOVERY CK (posedge CK) (0.249::0.286))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.471::0.591))
+            (HOLD C (posedge C) (0.128::0.138))
+            (SETUP C (posedge C) (0.094::0.102))
         )
     )
     (CELL
@@ -214,42 +20,6 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.108::0.116))
-            (SETUP C (posedge C) (0.031::0.033))
-            (HOLD REGRST (posedge C) (0.122::0.132))
-            (SETUP REGRST (posedge C) (0.158::0.172))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
         (CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
         (INSTANCE IDELAYE2)
         (DELAY
@@ -268,41 +38,61 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
         (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD C (posedge C) (0.128::0.138))
-            (SETUP C (posedge C) (0.094::0.102))
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LDPIPEEN (posedge C) (0.108::0.116))
+            (SETUP LDPIPEEN (posedge C) (0.031::0.033))
+            (HOLD REGRST (posedge C) (0.122::0.132))
+            (SETUP REGRST (posedge C) (0.158::0.172))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_RECOV")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (SETUP CK (posedge CK) (0.518::0.596))
+            (HOLD CE (posedge CK) (-0.076::-0.066))
+            (SETUP CE (posedge CK) (0.430::0.726))
+            (HOLD SR (posedge CK) (-0.077::-0.066))
+            (SETUP SR (posedge CK) (0.764::0.922))
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
+        (CELLTYPE "ILOGICE3_IFF_DDR")
         (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
-            )
+        (TIMINGCHECK
+            (HOLD CKB (posedge CKB) (-0.076::-0.066))
+            (SETUP CKB (posedge CKB) (0.430::0.726))
+            (HOLD D (posedge CK) (0.022::0.026))
+            (SETUP D (posedge CK) (0.091::0.105))
+            (HOLD CKB (posedge CKB) (0.022::0.026))
+            (SETUP CKB (posedge CKB) (0.091::0.105))
         )
     )
     (CELL
@@ -320,44 +110,10 @@
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_DDR")
+        (CELLTYPE "ILOGICE3_IFF_HOLD")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (HOLD CKB (posedge CKB) (-0.076::-0.066))
-            (SETUP CKB (posedge CKB) (0.430::0.726))
-            (HOLD D (posedge CK) (0.022::0.026))
-            (SETUP D (posedge CK) (0.091::0.105))
-            (HOLD CKB (posedge CKB) (0.022::0.026))
-            (SETUP CKB (posedge CKB) (0.091::0.105))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_REMOV")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.409::-0.357))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.076::-0.066))
-            (SETUP CK (posedge CK) (0.430::0.726))
-            (HOLD CK (posedge CK) (-0.077::-0.066))
-            (SETUP CK (posedge CK) (0.764::0.922))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+            (REMOVAL SR (posedge CK) (-0.409::-0.357))
         )
     )
     (CELL
@@ -387,4 +143,248 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SETUP")
+        (INSTANCE ILOGICE3)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CK) (0.518::0.596))
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.142::-0.124))
+            (RECOVERY SR (posedge CK) (0.261::0.300))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.651::0.798))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.351::0.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.059::-0.051))
+            (SETUP CK (posedge CK) (0.380::0.504))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.318::-0.277))
+            (RECOVERY SR (posedge CK) (0.249::0.286))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.471::0.591))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.707::0.885))
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.697::0.873))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.352::0.405))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/MONITOR_BOT.sdf b/artix7/timings/MONITOR_BOT.sdf
index 29b0532..604479f 100644
--- a/artix7/timings/MONITOR_BOT.sdf
+++ b/artix7/timings/MONITOR_BOT.sdf
@@ -4,22 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "XADC_DI")
-        (INSTANCE XADC)
-        (TIMINGCHECK
-            (HOLD DCLK (posedge DCLK) (-0.009::0.010))
-            (SETUP DCLK (posedge DCLK) (0.610::0.648))
-        )
-    )
-    (CELL
-        (CELLTYPE "XADC_DADDR")
-        (INSTANCE XADC)
-        (TIMINGCHECK
-            (HOLD DCLK (posedge DCLK) (-0.002::0.017))
-            (SETUP DCLK (posedge DCLK) (0.659::0.699))
-        )
-    )
-    (CELL
         (CELLTYPE "XADC")
         (INSTANCE XADC)
         (DELAY
@@ -35,10 +19,26 @@
             )
         )
         (TIMINGCHECK
-            (HOLD DEN (posedge DCLK) (-0.025::-0.013))
-            (SETUP DEN (posedge DCLK) (0.800::0.848))
-            (HOLD DWE (posedge DCLK) (-0.022::-0.005))
-            (SETUP DWE (posedge DCLK) (0.531::0.565))
+            (HOLD DCLK (posedge DCLK) (-0.025::-0.013))
+            (SETUP DCLK (posedge DCLK) (0.800::0.848))
+            (HOLD DCLK (posedge DCLK) (-0.022::-0.005))
+            (SETUP DCLK (posedge DCLK) (0.531::0.565))
+        )
+    )
+    (CELL
+        (CELLTYPE "XADC_DADDR")
+        (INSTANCE XADC)
+        (TIMINGCHECK
+            (HOLD DCLK (posedge DCLK) (-0.002::0.017))
+            (SETUP DCLK (posedge DCLK) (0.659::0.699))
+        )
+    )
+    (CELL
+        (CELLTYPE "XADC_DI")
+        (INSTANCE XADC)
+        (TIMINGCHECK
+            (HOLD DCLK (posedge DCLK) (-0.009::0.010))
+            (SETUP DCLK (posedge DCLK) (0.610::0.648))
         )
     )
 )
\ No newline at end of file
diff --git a/artix7/timings/PCIE_BOT.sdf b/artix7/timings/PCIE_BOT.sdf
index e69c765..7a365b6 100644
--- a/artix7/timings/PCIE_BOT.sdf
+++ b/artix7/timings/PCIE_BOT.sdf
@@ -1178,22 +1178,22 @@
             )
         )
         (TIMINGCHECK
-            (HOLD USERCLK2 (posedge USERCLK2) (0.694::0.799))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.003::-0.003))
+            (HOLD CFGAERINTERRUPTMSGNUM0 (posedge USERCLK2) (0.694::0.799))
+            (SETUP CFGAERINTERRUPTMSGNUM0 (posedge USERCLK2) (-0.003::-0.003))
             (HOLD CFGAERINTERRUPTMSGNUM1 (posedge USERCLK2) (0.699::0.804))
             (SETUP CFGAERINTERRUPTMSGNUM1 (posedge USERCLK2) (-0.028::-0.024))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.614::0.706))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.309::0.356))
+            (HOLD CFGAERINTERRUPTMSGNUM2 (posedge USERCLK2) (0.614::0.706))
+            (SETUP CFGAERINTERRUPTMSGNUM2 (posedge USERCLK2) (0.309::0.356))
             (HOLD CFGAERINTERRUPTMSGNUM3 (posedge USERCLK2) (0.641::0.737))
             (SETUP CFGAERINTERRUPTMSGNUM3 (posedge USERCLK2) (0.083::0.096))
             (HOLD CFGAERINTERRUPTMSGNUM4 (posedge USERCLK2) (0.628::0.723))
             (SETUP CFGAERINTERRUPTMSGNUM4 (posedge USERCLK2) (0.080::0.093))
             (HOLD USERCLK2 (posedge USERCLK2) (0.504::0.579))
             (SETUP USERCLK2 (posedge USERCLK2) (0.203::0.234))
-            (HOLD CFGDEVID10 (posedge USERCLK2) (0.500::0.575))
-            (SETUP CFGDEVID10 (posedge USERCLK2) (0.481::0.553))
-            (HOLD CFGDEVID11 (posedge USERCLK2) (0.439::0.505))
-            (SETUP CFGDEVID11 (posedge USERCLK2) (0.413::0.475))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.500::0.575))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.481::0.553))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.439::0.505))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.413::0.475))
             (HOLD CFGDEVID12 (posedge USERCLK2) (0.447::0.515))
             (SETUP CFGDEVID12 (posedge USERCLK2) (0.384::0.442))
             (HOLD CFGDEVID13 (posedge USERCLK2) (0.530::0.609))
@@ -1210,62 +1210,62 @@
             (SETUP CFGDEVID3 (posedge USERCLK2) (0.495::0.569))
             (HOLD CFGDEVID4 (posedge USERCLK2) (0.429::0.494))
             (SETUP CFGDEVID4 (posedge USERCLK2) (0.443::0.510))
-            (HOLD CFGDEVID5 (posedge USERCLK2) (0.466::0.537))
-            (SETUP CFGDEVID5 (posedge USERCLK2) (0.284::0.327))
-            (HOLD CFGDEVID6 (posedge USERCLK2) (0.459::0.528))
-            (SETUP CFGDEVID6 (posedge USERCLK2) (0.370::0.425))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.466::0.537))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.284::0.327))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.459::0.528))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.370::0.425))
             (HOLD CFGDEVID7 (posedge USERCLK2) (0.536::0.617))
             (SETUP CFGDEVID7 (posedge USERCLK2) (0.520::0.598))
             (HOLD CFGDEVID8 (posedge USERCLK2) (0.514::0.592))
             (SETUP CFGDEVID8 (posedge USERCLK2) (0.344::0.395))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.493::0.567))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.499::0.574))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.750::0.863))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.096::-0.084))
+            (HOLD CFGDEVID9 (posedge USERCLK2) (0.493::0.567))
+            (SETUP CFGDEVID9 (posedge USERCLK2) (0.499::0.574))
+            (HOLD CFGDSBUSNUMBER0 (posedge USERCLK2) (0.750::0.863))
+            (SETUP CFGDSBUSNUMBER0 (posedge USERCLK2) (-0.096::-0.084))
             (HOLD CFGDSBUSNUMBER1 (posedge USERCLK2) (0.756::0.869))
             (SETUP CFGDSBUSNUMBER1 (posedge USERCLK2) (-0.075::-0.065))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.755::0.868))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.178::-0.156))
+            (HOLD CFGDSBUSNUMBER2 (posedge USERCLK2) (0.755::0.868))
+            (SETUP CFGDSBUSNUMBER2 (posedge USERCLK2) (-0.178::-0.156))
             (HOLD CFGDSBUSNUMBER3 (posedge USERCLK2) (0.756::0.869))
             (SETUP CFGDSBUSNUMBER3 (posedge USERCLK2) (-0.163::-0.142))
             (HOLD CFGDSBUSNUMBER4 (posedge USERCLK2) (0.771::0.887))
             (SETUP CFGDSBUSNUMBER4 (posedge USERCLK2) (-0.151::-0.132))
             (HOLD CFGDSBUSNUMBER5 (posedge USERCLK2) (0.754::0.867))
             (SETUP CFGDSBUSNUMBER5 (posedge USERCLK2) (-0.162::-0.141))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.764::0.879))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.144::-0.126))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.755::0.868))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.101::-0.088))
+            (HOLD CFGDSBUSNUMBER6 (posedge USERCLK2) (0.764::0.879))
+            (SETUP CFGDSBUSNUMBER6 (posedge USERCLK2) (-0.144::-0.126))
+            (HOLD CFGDSBUSNUMBER7 (posedge USERCLK2) (0.755::0.868))
+            (SETUP CFGDSBUSNUMBER7 (posedge USERCLK2) (-0.101::-0.088))
             (HOLD CFGDSDEVICENUMBER0 (posedge USERCLK2) (0.745::0.857))
             (SETUP CFGDSDEVICENUMBER0 (posedge USERCLK2) (-0.118::-0.103))
-            (HOLD CFGDSDEVICENUMBER1 (posedge USERCLK2) (0.747::0.859))
-            (SETUP CFGDSDEVICENUMBER1 (posedge USERCLK2) (-0.129::-0.112))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.747::0.859))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.129::-0.112))
             (HOLD CFGDSDEVICENUMBER2 (posedge USERCLK2) (0.740::0.852))
             (SETUP CFGDSDEVICENUMBER2 (posedge USERCLK2) (-0.056::-0.049))
             (HOLD USERCLK2 (posedge USERCLK2) (0.620::0.713))
             (SETUP USERCLK2 (posedge USERCLK2) (0.400::0.460))
-            (HOLD CFGDSDEVICENUMBER4 (posedge USERCLK2) (0.538::0.619))
-            (SETUP CFGDSDEVICENUMBER4 (posedge USERCLK2) (0.316::0.364))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.538::0.619))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.316::0.364))
             (HOLD CFGDSFUNCTIONNUMBER0 (posedge USERCLK2) (0.541::0.622))
             (SETUP CFGDSFUNCTIONNUMBER0 (posedge USERCLK2) (0.346::0.398))
             (HOLD CFGDSFUNCTIONNUMBER1 (posedge USERCLK2) (0.542::0.624))
             (SETUP CFGDSFUNCTIONNUMBER1 (posedge USERCLK2) (0.355::0.409))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.596::0.685))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.430::0.495))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.565::0.650))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.175::0.202))
-            (HOLD CFGDSN10 (posedge USERCLK2) (0.712::0.820))
-            (SETUP CFGDSN10 (posedge USERCLK2) (-0.085::-0.074))
+            (HOLD CFGDSFUNCTIONNUMBER2 (posedge USERCLK2) (0.596::0.685))
+            (SETUP CFGDSFUNCTIONNUMBER2 (posedge USERCLK2) (0.430::0.495))
+            (HOLD CFGDSN0 (posedge USERCLK2) (0.565::0.650))
+            (SETUP CFGDSN0 (posedge USERCLK2) (0.175::0.202))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.712::0.820))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.085::-0.074))
             (HOLD USERCLK2 (posedge USERCLK2) (0.721::0.830))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.064::-0.056))
             (HOLD CFGDSN12 (posedge USERCLK2) (0.715::0.823))
             (SETUP CFGDSN12 (posedge USERCLK2) (-0.111::-0.097))
             (HOLD CFGDSN13 (posedge USERCLK2) (0.747::0.859))
             (SETUP CFGDSN13 (posedge USERCLK2) (-0.146::-0.128))
-            (HOLD CFGDSN14 (posedge USERCLK2) (0.740::0.852))
-            (SETUP CFGDSN14 (posedge USERCLK2) (-0.165::-0.144))
-            (HOLD CFGDSN15 (posedge USERCLK2) (0.739::0.850))
-            (SETUP CFGDSN15 (posedge USERCLK2) (-0.144::-0.126))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.740::0.852))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.165::-0.144))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.739::0.850))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.144::-0.126))
             (HOLD CFGDSN16 (posedge USERCLK2) (0.734::0.844))
             (SETUP CFGDSN16 (posedge USERCLK2) (-0.135::-0.118))
             (HOLD CFGDSN17 (posedge USERCLK2) (0.750::0.863))
@@ -1280,62 +1280,62 @@
             (SETUP CFGDSN20 (posedge USERCLK2) (-0.164::-0.143))
             (HOLD CFGDSN21 (posedge USERCLK2) (0.727::0.836))
             (SETUP CFGDSN21 (posedge USERCLK2) (-0.164::-0.143))
-            (HOLD CFGDSN22 (posedge USERCLK2) (0.731::0.841))
-            (SETUP CFGDSN22 (posedge USERCLK2) (-0.174::-0.152))
-            (HOLD CFGDSN23 (posedge USERCLK2) (0.744::0.856))
-            (SETUP CFGDSN23 (posedge USERCLK2) (-0.182::-0.158))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.731::0.841))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.174::-0.152))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.744::0.856))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.182::-0.158))
             (HOLD CFGDSN24 (posedge USERCLK2) (0.742::0.854))
             (SETUP CFGDSN24 (posedge USERCLK2) (-0.190::-0.166))
-            (HOLD CFGDSN25 (posedge USERCLK2) (0.747::0.859))
-            (SETUP CFGDSN25 (posedge USERCLK2) (-0.195::-0.170))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.746::0.858))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.196::-0.171))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.747::0.859))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.195::-0.170))
+            (HOLD CFGDSN26 (posedge USERCLK2) (0.746::0.858))
+            (SETUP CFGDSN26 (posedge USERCLK2) (-0.196::-0.171))
             (HOLD USERCLK2 (posedge USERCLK2) (0.746::0.858))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.197::-0.172))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.745::0.857))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.195::-0.170))
-            (HOLD CFGDSN29 (posedge USERCLK2) (0.748::0.860))
-            (SETUP CFGDSN29 (posedge USERCLK2) (-0.197::-0.172))
+            (HOLD CFGDSN28 (posedge USERCLK2) (0.745::0.857))
+            (SETUP CFGDSN28 (posedge USERCLK2) (-0.195::-0.170))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.748::0.860))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.197::-0.172))
             (HOLD CFGDSN2 (posedge USERCLK2) (0.663::0.762))
             (SETUP CFGDSN2 (posedge USERCLK2) (-0.001::-0.001))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.730::0.840))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.182::-0.158))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.746::0.858))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.193::-0.168))
+            (HOLD CFGDSN30 (posedge USERCLK2) (0.730::0.840))
+            (SETUP CFGDSN30 (posedge USERCLK2) (-0.182::-0.158))
+            (HOLD CFGDSN31 (posedge USERCLK2) (0.746::0.858))
+            (SETUP CFGDSN31 (posedge USERCLK2) (-0.193::-0.168))
             (HOLD CFGDSN32 (posedge USERCLK2) (0.745::0.857))
             (SETUP CFGDSN32 (posedge USERCLK2) (-0.196::-0.171))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.731::0.841))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.147::-0.129))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.728::0.837))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.158::-0.138))
+            (HOLD CFGDSN33 (posedge USERCLK2) (0.731::0.841))
+            (SETUP CFGDSN33 (posedge USERCLK2) (-0.147::-0.129))
+            (HOLD CFGDSN34 (posedge USERCLK2) (0.728::0.837))
+            (SETUP CFGDSN34 (posedge USERCLK2) (-0.158::-0.138))
+            (HOLD CFGDSN35 (posedge USERCLK2) (0.732::0.842))
+            (SETUP CFGDSN35 (posedge USERCLK2) (-0.151::-0.132))
             (HOLD USERCLK2 (posedge USERCLK2) (0.732::0.842))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.151::-0.132))
-            (HOLD CFGDSN36 (posedge USERCLK2) (0.732::0.842))
-            (SETUP CFGDSN36 (posedge USERCLK2) (-0.145::-0.127))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.726::0.835))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.086::-0.075))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.145::-0.127))
+            (HOLD CFGDSN37 (posedge USERCLK2) (0.726::0.835))
+            (SETUP CFGDSN37 (posedge USERCLK2) (-0.086::-0.075))
             (HOLD CFGDSN38 (posedge USERCLK2) (0.707::0.813))
             (SETUP CFGDSN38 (posedge USERCLK2) (-0.121::-0.106))
             (HOLD CFGDSN39 (posedge USERCLK2) (0.718::0.826))
             (SETUP CFGDSN39 (posedge USERCLK2) (-0.095::-0.083))
-            (HOLD CFGDSN3 (posedge USERCLK2) (0.680::0.782))
-            (SETUP CFGDSN3 (posedge USERCLK2) (-0.074::-0.064))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.721::0.829))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.055::-0.048))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.680::0.782))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.074::-0.064))
+            (HOLD CFGDSN40 (posedge USERCLK2) (0.721::0.829))
+            (SETUP CFGDSN40 (posedge USERCLK2) (-0.055::-0.048))
             (HOLD CFGDSN41 (posedge USERCLK2) (0.715::0.823))
             (SETUP CFGDSN41 (posedge USERCLK2) (-0.021::-0.018))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.693::0.798))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.059::-0.052))
+            (HOLD CFGDSN42 (posedge USERCLK2) (0.693::0.798))
+            (SETUP CFGDSN42 (posedge USERCLK2) (-0.059::-0.052))
             (HOLD CFGDSN43 (posedge USERCLK2) (0.680::0.782))
             (SETUP CFGDSN43 (posedge USERCLK2) (0.089::0.103))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.712::0.820))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.046::0.053))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.690::0.794))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.003::-0.003))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.711::0.817))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.033::0.038))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.670::0.771))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.006::0.007))
+            (HOLD CFGDSN44 (posedge USERCLK2) (0.712::0.820))
+            (SETUP CFGDSN44 (posedge USERCLK2) (0.046::0.053))
+            (HOLD CFGDSN45 (posedge USERCLK2) (0.690::0.794))
+            (SETUP CFGDSN45 (posedge USERCLK2) (-0.003::-0.003))
+            (HOLD CFGDSN46 (posedge USERCLK2) (0.711::0.817))
+            (SETUP CFGDSN46 (posedge USERCLK2) (0.033::0.038))
+            (HOLD CFGDSN47 (posedge USERCLK2) (0.670::0.771))
+            (SETUP CFGDSN47 (posedge USERCLK2) (0.006::0.007))
             (HOLD USERCLK2 (posedge USERCLK2) (0.676::0.778))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.039::-0.034))
             (HOLD CFGDSN49 (posedge USERCLK2) (0.670::0.771))
@@ -1344,8 +1344,8 @@
             (SETUP CFGDSN4 (posedge USERCLK2) (0.025::0.029))
             (HOLD CFGDSN50 (posedge USERCLK2) (0.649::0.747))
             (SETUP CFGDSN50 (posedge USERCLK2) (0.009::0.010))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.659::0.758))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.007::-0.006))
+            (HOLD CFGDSN51 (posedge USERCLK2) (0.659::0.758))
+            (SETUP CFGDSN51 (posedge USERCLK2) (-0.007::-0.006))
             (HOLD CFGDSN52 (posedge USERCLK2) (0.649::0.747))
             (SETUP CFGDSN52 (posedge USERCLK2) (0.038::0.044))
             (HOLD CFGDSN53 (posedge USERCLK2) (0.654::0.752))
@@ -1358,42 +1358,42 @@
             (SETUP CFGDSN56 (posedge USERCLK2) (0.134::0.154))
             (HOLD USERCLK2 (posedge USERCLK2) (0.594::0.683))
             (SETUP USERCLK2 (posedge USERCLK2) (0.068::0.078))
-            (HOLD CFGDSN58 (posedge USERCLK2) (0.595::0.684))
-            (SETUP CFGDSN58 (posedge USERCLK2) (0.056::0.064))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.595::0.684))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.056::0.064))
             (HOLD CFGDSN59 (posedge USERCLK2) (0.623::0.717))
             (SETUP CFGDSN59 (posedge USERCLK2) (0.136::0.156))
             (HOLD USERCLK2 (posedge USERCLK2) (0.676::0.778))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.034::-0.030))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.639::0.735))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.057::0.066))
-            (HOLD CFGDSN61 (posedge USERCLK2) (0.581::0.669))
-            (SETUP CFGDSN61 (posedge USERCLK2) (0.203::0.234))
+            (HOLD CFGDSN60 (posedge USERCLK2) (0.639::0.735))
+            (SETUP CFGDSN60 (posedge USERCLK2) (0.057::0.066))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.581::0.669))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.203::0.234))
             (HOLD CFGDSN62 (posedge USERCLK2) (0.542::0.623))
             (SETUP CFGDSN62 (posedge USERCLK2) (0.177::0.204))
             (HOLD CFGDSN63 (posedge USERCLK2) (0.534::0.615))
             (SETUP CFGDSN63 (posedge USERCLK2) (0.279::0.321))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.723::0.832))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.042::0.049))
+            (HOLD CFGDSN6 (posedge USERCLK2) (0.723::0.832))
+            (SETUP CFGDSN6 (posedge USERCLK2) (0.042::0.049))
             (HOLD CFGDSN7 (posedge USERCLK2) (0.711::0.817))
             (SETUP CFGDSN7 (posedge USERCLK2) (-0.025::-0.022))
             (HOLD USERCLK2 (posedge USERCLK2) (0.721::0.830))
             (SETUP USERCLK2 (posedge USERCLK2) (0.015::0.018))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.717::0.825))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.078::-0.068))
+            (HOLD CFGDSN9 (posedge USERCLK2) (0.717::0.825))
+            (SETUP CFGDSN9 (posedge USERCLK2) (-0.078::-0.068))
             (HOLD CFGERRACSN (posedge USERCLK2) (0.659::0.758))
             (SETUP CFGERRACSN (posedge USERCLK2) (0.029::0.033))
-            (HOLD CFGERRAERHEADERLOG0 (posedge USERCLK2) (0.569::0.654))
-            (SETUP CFGERRAERHEADERLOG0 (posedge USERCLK2) (0.148::0.171))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.569::0.654))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.148::0.171))
             (HOLD CFGERRAERHEADERLOG100 (posedge USERCLK2) (0.680::0.782))
             (SETUP CFGERRAERHEADERLOG100 (posedge USERCLK2) (-0.025::-0.022))
-            (HOLD CFGERRAERHEADERLOG101 (posedge USERCLK2) (0.732::0.842))
-            (SETUP CFGERRAERHEADERLOG101 (posedge USERCLK2) (0.027::0.031))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.732::0.842))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.027::0.031))
             (HOLD CFGERRAERHEADERLOG102 (posedge USERCLK2) (0.711::0.818))
             (SETUP CFGERRAERHEADERLOG102 (posedge USERCLK2) (-0.004::-0.004))
             (HOLD CFGERRAERHEADERLOG103 (posedge USERCLK2) (0.696::0.801))
             (SETUP CFGERRAERHEADERLOG103 (posedge USERCLK2) (-0.044::-0.038))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.730::0.840))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.023::0.026))
+            (HOLD CFGERRAERHEADERLOG104 (posedge USERCLK2) (0.730::0.840))
+            (SETUP CFGERRAERHEADERLOG104 (posedge USERCLK2) (0.023::0.026))
             (HOLD CFGERRAERHEADERLOG105 (posedge USERCLK2) (0.706::0.812))
             (SETUP CFGERRAERHEADERLOG105 (posedge USERCLK2) (0.094::0.108))
             (HOLD CFGERRAERHEADERLOG106 (posedge USERCLK2) (0.710::0.816))
@@ -1408,8 +1408,8 @@
             (SETUP CFGERRAERHEADERLOG10 (posedge USERCLK2) (0.363::0.418))
             (HOLD USERCLK2 (posedge USERCLK2) (0.729::0.838))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.079::-0.069))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.721::0.830))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.123::-0.108))
+            (HOLD CFGERRAERHEADERLOG111 (posedge USERCLK2) (0.721::0.830))
+            (SETUP CFGERRAERHEADERLOG111 (posedge USERCLK2) (-0.123::-0.108))
             (HOLD CFGERRAERHEADERLOG112 (posedge USERCLK2) (0.718::0.826))
             (SETUP CFGERRAERHEADERLOG112 (posedge USERCLK2) (-0.121::-0.106))
             (HOLD USERCLK2 (posedge USERCLK2) (0.717::0.825))
@@ -1418,34 +1418,34 @@
             (SETUP CFGERRAERHEADERLOG114 (posedge USERCLK2) (-0.158::-0.138))
             (HOLD USERCLK2 (posedge USERCLK2) (0.718::0.826))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.155::-0.135))
-            (HOLD CFGERRAERHEADERLOG116 (posedge USERCLK2) (0.749::0.861))
-            (SETUP CFGERRAERHEADERLOG116 (posedge USERCLK2) (-0.155::-0.135))
-            (HOLD CFGERRAERHEADERLOG117 (posedge USERCLK2) (0.741::0.853))
-            (SETUP CFGERRAERHEADERLOG117 (posedge USERCLK2) (-0.131::-0.114))
-            (HOLD CFGERRAERHEADERLOG118 (posedge USERCLK2) (0.753::0.866))
-            (SETUP CFGERRAERHEADERLOG118 (posedge USERCLK2) (-0.173::-0.151))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.749::0.861))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.155::-0.135))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.741::0.853))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.131::-0.114))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.753::0.866))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.173::-0.151))
             (HOLD CFGERRAERHEADERLOG119 (posedge USERCLK2) (0.718::0.826))
             (SETUP CFGERRAERHEADERLOG119 (posedge USERCLK2) (-0.135::-0.118))
-            (HOLD CFGERRAERHEADERLOG11 (posedge USERCLK2) (0.617::0.710))
-            (SETUP CFGERRAERHEADERLOG11 (posedge USERCLK2) (0.209::0.240))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.617::0.710))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.209::0.240))
             (HOLD CFGERRAERHEADERLOG120 (posedge USERCLK2) (0.710::0.816))
             (SETUP CFGERRAERHEADERLOG120 (posedge USERCLK2) (-0.139::-0.121))
             (HOLD USERCLK2 (posedge USERCLK2) (0.718::0.826))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.128::-0.111))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.740::0.852))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.163::-0.142))
+            (HOLD CFGERRAERHEADERLOG122 (posedge USERCLK2) (0.740::0.852))
+            (SETUP CFGERRAERHEADERLOG122 (posedge USERCLK2) (-0.163::-0.142))
             (HOLD CFGERRAERHEADERLOG123 (posedge USERCLK2) (0.751::0.864))
             (SETUP CFGERRAERHEADERLOG123 (posedge USERCLK2) (-0.156::-0.136))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.738::0.849))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.152::-0.132))
+            (HOLD CFGERRAERHEADERLOG124 (posedge USERCLK2) (0.738::0.849))
+            (SETUP CFGERRAERHEADERLOG124 (posedge USERCLK2) (-0.152::-0.132))
             (HOLD CFGERRAERHEADERLOG125 (posedge USERCLK2) (0.735::0.846))
             (SETUP CFGERRAERHEADERLOG125 (posedge USERCLK2) (-0.151::-0.132))
-            (HOLD CFGERRAERHEADERLOG126 (posedge USERCLK2) (0.749::0.861))
-            (SETUP CFGERRAERHEADERLOG126 (posedge USERCLK2) (-0.074::-0.064))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.749::0.861))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.074::-0.064))
             (HOLD CFGERRAERHEADERLOG127 (posedge USERCLK2) (0.745::0.857))
             (SETUP CFGERRAERHEADERLOG127 (posedge USERCLK2) (-0.128::-0.111))
-            (HOLD CFGERRAERHEADERLOG12 (posedge USERCLK2) (0.706::0.812))
-            (SETUP CFGERRAERHEADERLOG12 (posedge USERCLK2) (-0.026::-0.023))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.706::0.812))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.026::-0.023))
             (HOLD CFGERRAERHEADERLOG13 (posedge USERCLK2) (0.713::0.821))
             (SETUP CFGERRAERHEADERLOG13 (posedge USERCLK2) (0.004::0.004))
             (HOLD CFGERRAERHEADERLOG14 (posedge USERCLK2) (0.747::0.859))
@@ -1454,10 +1454,10 @@
             (SETUP CFGERRAERHEADERLOG15 (posedge USERCLK2) (-0.154::-0.134))
             (HOLD CFGERRAERHEADERLOG16 (posedge USERCLK2) (0.745::0.857))
             (SETUP CFGERRAERHEADERLOG16 (posedge USERCLK2) (-0.122::-0.107))
-            (HOLD CFGERRAERHEADERLOG17 (posedge USERCLK2) (0.741::0.853))
-            (SETUP CFGERRAERHEADERLOG17 (posedge USERCLK2) (-0.123::-0.108))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.743::0.855))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.166::-0.145))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.741::0.853))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.123::-0.108))
+            (HOLD CFGERRAERHEADERLOG18 (posedge USERCLK2) (0.743::0.855))
+            (SETUP CFGERRAERHEADERLOG18 (posedge USERCLK2) (-0.166::-0.145))
             (HOLD CFGERRAERHEADERLOG19 (posedge USERCLK2) (0.739::0.851))
             (SETUP CFGERRAERHEADERLOG19 (posedge USERCLK2) (-0.151::-0.132))
             (HOLD CFGERRAERHEADERLOG1 (posedge USERCLK2) (0.577::0.664))
@@ -1476,8 +1476,8 @@
             (SETUP CFGERRAERHEADERLOG25 (posedge USERCLK2) (-0.124::-0.108))
             (HOLD CFGERRAERHEADERLOG26 (posedge USERCLK2) (0.743::0.855))
             (SETUP CFGERRAERHEADERLOG26 (posedge USERCLK2) (-0.106::-0.092))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.749::0.862))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.116::-0.101))
+            (HOLD CFGERRAERHEADERLOG27 (posedge USERCLK2) (0.749::0.862))
+            (SETUP CFGERRAERHEADERLOG27 (posedge USERCLK2) (-0.116::-0.101))
             (HOLD CFGERRAERHEADERLOG28 (posedge USERCLK2) (0.749::0.861))
             (SETUP CFGERRAERHEADERLOG28 (posedge USERCLK2) (-0.117::-0.102))
             (HOLD USERCLK2 (posedge USERCLK2) (0.745::0.857))
@@ -1488,68 +1488,68 @@
             (SETUP CFGERRAERHEADERLOG30 (posedge USERCLK2) (-0.023::-0.020))
             (HOLD CFGERRAERHEADERLOG31 (posedge USERCLK2) (0.739::0.851))
             (SETUP CFGERRAERHEADERLOG31 (posedge USERCLK2) (-0.040::-0.035))
-            (HOLD CFGERRAERHEADERLOG32 (posedge USERCLK2) (0.753::0.866))
-            (SETUP CFGERRAERHEADERLOG32 (posedge USERCLK2) (-0.097::-0.084))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.753::0.866))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.097::-0.084))
             (HOLD CFGERRAERHEADERLOG33 (posedge USERCLK2) (0.760::0.875))
             (SETUP CFGERRAERHEADERLOG33 (posedge USERCLK2) (-0.090::-0.079))
-            (HOLD CFGERRAERHEADERLOG34 (posedge USERCLK2) (0.732::0.842))
-            (SETUP CFGERRAERHEADERLOG34 (posedge USERCLK2) (-0.117::-0.102))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.749::0.861))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.052::-0.045))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.732::0.842))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.117::-0.102))
+            (HOLD CFGERRAERHEADERLOG35 (posedge USERCLK2) (0.749::0.861))
+            (SETUP CFGERRAERHEADERLOG35 (posedge USERCLK2) (-0.052::-0.045))
             (HOLD CFGERRAERHEADERLOG36 (posedge USERCLK2) (0.695::0.800))
             (SETUP CFGERRAERHEADERLOG36 (posedge USERCLK2) (0.003::0.003))
             (HOLD CFGERRAERHEADERLOG37 (posedge USERCLK2) (0.736::0.847))
             (SETUP CFGERRAERHEADERLOG37 (posedge USERCLK2) (-0.001::-0.001))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.694::0.799))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.061::-0.053))
+            (HOLD CFGERRAERHEADERLOG38 (posedge USERCLK2) (0.694::0.799))
+            (SETUP CFGERRAERHEADERLOG38 (posedge USERCLK2) (-0.061::-0.053))
             (HOLD CFGERRAERHEADERLOG39 (posedge USERCLK2) (0.712::0.820))
             (SETUP CFGERRAERHEADERLOG39 (posedge USERCLK2) (-0.101::-0.088))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.500::0.575))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.397::0.457))
+            (HOLD CFGERRAERHEADERLOG3 (posedge USERCLK2) (0.500::0.575))
+            (SETUP CFGERRAERHEADERLOG3 (posedge USERCLK2) (0.397::0.457))
             (HOLD CFGERRAERHEADERLOG40 (posedge USERCLK2) (0.688::0.791))
             (SETUP CFGERRAERHEADERLOG40 (posedge USERCLK2) (0.036::0.042))
-            (HOLD CFGERRAERHEADERLOG41 (posedge USERCLK2) (0.683::0.785))
-            (SETUP CFGERRAERHEADERLOG41 (posedge USERCLK2) (0.003::0.003))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.712::0.820))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.063::-0.055))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.731::0.841))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.683::0.785))
             (SETUP USERCLK2 (posedge USERCLK2) (0.003::0.003))
+            (HOLD CFGERRAERHEADERLOG42 (posedge USERCLK2) (0.712::0.820))
+            (SETUP CFGERRAERHEADERLOG42 (posedge USERCLK2) (-0.063::-0.055))
+            (HOLD CFGERRAERHEADERLOG43 (posedge USERCLK2) (0.731::0.841))
+            (SETUP CFGERRAERHEADERLOG43 (posedge USERCLK2) (0.003::0.003))
             (HOLD CFGERRAERHEADERLOG44 (posedge USERCLK2) (0.693::0.798))
             (SETUP CFGERRAERHEADERLOG44 (posedge USERCLK2) (0.038::0.044))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.685::0.788))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.014::0.017))
+            (HOLD CFGERRAERHEADERLOG45 (posedge USERCLK2) (0.685::0.788))
+            (SETUP CFGERRAERHEADERLOG45 (posedge USERCLK2) (0.014::0.017))
             (HOLD CFGERRAERHEADERLOG46 (posedge USERCLK2) (0.692::0.796))
             (SETUP CFGERRAERHEADERLOG46 (posedge USERCLK2) (0.071::0.082))
             (HOLD CFGERRAERHEADERLOG47 (posedge USERCLK2) (0.665::0.765))
             (SETUP CFGERRAERHEADERLOG47 (posedge USERCLK2) (-0.040::-0.035))
             (HOLD CFGERRAERHEADERLOG48 (posedge USERCLK2) (0.683::0.785))
             (SETUP CFGERRAERHEADERLOG48 (posedge USERCLK2) (0.024::0.027))
-            (HOLD CFGERRAERHEADERLOG49 (posedge USERCLK2) (0.666::0.766))
-            (SETUP CFGERRAERHEADERLOG49 (posedge USERCLK2) (0.028::0.032))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.666::0.766))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.028::0.032))
             (HOLD CFGERRAERHEADERLOG4 (posedge USERCLK2) (0.511::0.588))
             (SETUP CFGERRAERHEADERLOG4 (posedge USERCLK2) (0.322::0.370))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.655::0.753))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.043::-0.037))
+            (HOLD CFGERRAERHEADERLOG50 (posedge USERCLK2) (0.655::0.753))
+            (SETUP CFGERRAERHEADERLOG50 (posedge USERCLK2) (-0.043::-0.037))
             (HOLD CFGERRAERHEADERLOG51 (posedge USERCLK2) (0.653::0.751))
             (SETUP CFGERRAERHEADERLOG51 (posedge USERCLK2) (-0.015::-0.013))
             (HOLD CFGERRAERHEADERLOG52 (posedge USERCLK2) (0.678::0.780))
             (SETUP CFGERRAERHEADERLOG52 (posedge USERCLK2) (0.056::0.064))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.663::0.762))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.022::-0.019))
+            (HOLD CFGERRAERHEADERLOG53 (posedge USERCLK2) (0.663::0.762))
+            (SETUP CFGERRAERHEADERLOG53 (posedge USERCLK2) (-0.022::-0.019))
             (HOLD CFGERRAERHEADERLOG54 (posedge USERCLK2) (0.613::0.705))
             (SETUP CFGERRAERHEADERLOG54 (posedge USERCLK2) (0.221::0.254))
             (HOLD CFGERRAERHEADERLOG55 (posedge USERCLK2) (0.624::0.718))
             (SETUP CFGERRAERHEADERLOG55 (posedge USERCLK2) (0.089::0.102))
-            (HOLD CFGERRAERHEADERLOG56 (posedge USERCLK2) (0.592::0.681))
-            (SETUP CFGERRAERHEADERLOG56 (posedge USERCLK2) (0.076::0.087))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.592::0.681))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.076::0.087))
             (HOLD CFGERRAERHEADERLOG57 (posedge USERCLK2) (0.599::0.690))
             (SETUP CFGERRAERHEADERLOG57 (posedge USERCLK2) (0.145::0.166))
             (HOLD CFGERRAERHEADERLOG58 (posedge USERCLK2) (0.595::0.684))
             (SETUP CFGERRAERHEADERLOG58 (posedge USERCLK2) (0.087::0.100))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.576::0.662))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.123::0.141))
-            (HOLD CFGERRAERHEADERLOG5 (posedge USERCLK2) (0.580::0.668))
-            (SETUP CFGERRAERHEADERLOG5 (posedge USERCLK2) (0.093::0.107))
+            (HOLD CFGERRAERHEADERLOG59 (posedge USERCLK2) (0.576::0.662))
+            (SETUP CFGERRAERHEADERLOG59 (posedge USERCLK2) (0.123::0.141))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.580::0.668))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.093::0.107))
             (HOLD CFGERRAERHEADERLOG60 (posedge USERCLK2) (0.574::0.660))
             (SETUP CFGERRAERHEADERLOG60 (posedge USERCLK2) (0.094::0.108))
             (HOLD CFGERRAERHEADERLOG61 (posedge USERCLK2) (0.547::0.629))
@@ -1562,38 +1562,38 @@
             (SETUP CFGERRAERHEADERLOG64 (posedge USERCLK2) (0.186::0.214))
             (HOLD CFGERRAERHEADERLOG65 (posedge USERCLK2) (0.577::0.664))
             (SETUP CFGERRAERHEADERLOG65 (posedge USERCLK2) (0.151::0.174))
-            (HOLD CFGERRAERHEADERLOG66 (posedge USERCLK2) (0.559::0.643))
-            (SETUP CFGERRAERHEADERLOG66 (posedge USERCLK2) (0.165::0.189))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.559::0.643))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.165::0.189))
             (HOLD CFGERRAERHEADERLOG67 (posedge USERCLK2) (0.535::0.616))
             (SETUP CFGERRAERHEADERLOG67 (posedge USERCLK2) (0.192::0.220))
             (HOLD CFGERRAERHEADERLOG68 (posedge USERCLK2) (0.549::0.631))
             (SETUP CFGERRAERHEADERLOG68 (posedge USERCLK2) (0.165::0.189))
             (HOLD CFGERRAERHEADERLOG69 (posedge USERCLK2) (0.592::0.681))
             (SETUP CFGERRAERHEADERLOG69 (posedge USERCLK2) (0.153::0.176))
-            (HOLD CFGERRAERHEADERLOG6 (posedge USERCLK2) (0.570::0.656))
-            (SETUP CFGERRAERHEADERLOG6 (posedge USERCLK2) (0.137::0.157))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.570::0.656))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.137::0.157))
             (HOLD CFGERRAERHEADERLOG70 (posedge USERCLK2) (0.543::0.625))
             (SETUP CFGERRAERHEADERLOG70 (posedge USERCLK2) (0.155::0.178))
             (HOLD CFGERRAERHEADERLOG71 (posedge USERCLK2) (0.530::0.609))
             (SETUP CFGERRAERHEADERLOG71 (posedge USERCLK2) (0.175::0.202))
             (HOLD CFGERRAERHEADERLOG72 (posedge USERCLK2) (0.541::0.622))
             (SETUP CFGERRAERHEADERLOG72 (posedge USERCLK2) (0.163::0.187))
-            (HOLD CFGERRAERHEADERLOG73 (posedge USERCLK2) (0.549::0.631))
-            (SETUP CFGERRAERHEADERLOG73 (posedge USERCLK2) (0.165::0.189))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.549::0.631))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.165::0.189))
             (HOLD CFGERRAERHEADERLOG74 (posedge USERCLK2) (0.591::0.680))
             (SETUP CFGERRAERHEADERLOG74 (posedge USERCLK2) (0.085::0.098))
-            (HOLD CFGERRAERHEADERLOG75 (posedge USERCLK2) (0.543::0.625))
-            (SETUP CFGERRAERHEADERLOG75 (posedge USERCLK2) (0.401::0.462))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.519::0.597))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.294::0.338))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.543::0.625))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.401::0.462))
+            (HOLD CFGERRAERHEADERLOG76 (posedge USERCLK2) (0.519::0.597))
+            (SETUP CFGERRAERHEADERLOG76 (posedge USERCLK2) (0.294::0.338))
             (HOLD CFGERRAERHEADERLOG77 (posedge USERCLK2) (0.563::0.648))
             (SETUP CFGERRAERHEADERLOG77 (posedge USERCLK2) (0.257::0.295))
             (HOLD CFGERRAERHEADERLOG78 (posedge USERCLK2) (0.584::0.672))
             (SETUP CFGERRAERHEADERLOG78 (posedge USERCLK2) (0.262::0.302))
             (HOLD USERCLK2 (posedge USERCLK2) (0.596::0.685))
             (SETUP USERCLK2 (posedge USERCLK2) (0.254::0.292))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.538::0.619))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.333::0.383))
+            (HOLD CFGERRAERHEADERLOG7 (posedge USERCLK2) (0.538::0.619))
+            (SETUP CFGERRAERHEADERLOG7 (posedge USERCLK2) (0.333::0.383))
             (HOLD CFGERRAERHEADERLOG80 (posedge USERCLK2) (0.589::0.678))
             (SETUP CFGERRAERHEADERLOG80 (posedge USERCLK2) (0.214::0.246))
             (HOLD USERCLK2 (posedge USERCLK2) (0.595::0.684))
@@ -1608,20 +1608,20 @@
             (SETUP CFGERRAERHEADERLOG85 (posedge USERCLK2) (0.106::0.122))
             (HOLD CFGERRAERHEADERLOG86 (posedge USERCLK2) (0.615::0.707))
             (SETUP CFGERRAERHEADERLOG86 (posedge USERCLK2) (0.143::0.164))
-            (HOLD CFGERRAERHEADERLOG87 (posedge USERCLK2) (0.617::0.709))
-            (SETUP CFGERRAERHEADERLOG87 (posedge USERCLK2) (0.141::0.162))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.617::0.709))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.141::0.162))
             (HOLD CFGERRAERHEADERLOG88 (posedge USERCLK2) (0.606::0.697))
             (SETUP CFGERRAERHEADERLOG88 (posedge USERCLK2) (0.242::0.279))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.652::0.750))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.229::0.263))
+            (HOLD CFGERRAERHEADERLOG89 (posedge USERCLK2) (0.652::0.750))
+            (SETUP CFGERRAERHEADERLOG89 (posedge USERCLK2) (0.229::0.263))
             (HOLD CFGERRAERHEADERLOG8 (posedge USERCLK2) (0.524::0.603))
             (SETUP CFGERRAERHEADERLOG8 (posedge USERCLK2) (0.420::0.484))
             (HOLD USERCLK2 (posedge USERCLK2) (0.602::0.693))
             (SETUP USERCLK2 (posedge USERCLK2) (0.126::0.145))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.653::0.751))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.128::0.148))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.676::0.778))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.143::0.164))
+            (HOLD CFGERRAERHEADERLOG91 (posedge USERCLK2) (0.653::0.751))
+            (SETUP CFGERRAERHEADERLOG91 (posedge USERCLK2) (0.128::0.148))
+            (HOLD CFGERRAERHEADERLOG92 (posedge USERCLK2) (0.676::0.778))
+            (SETUP CFGERRAERHEADERLOG92 (posedge USERCLK2) (0.143::0.164))
             (HOLD CFGERRAERHEADERLOG93 (posedge USERCLK2) (0.659::0.758))
             (SETUP CFGERRAERHEADERLOG93 (posedge USERCLK2) (0.156::0.180))
             (HOLD CFGERRAERHEADERLOG94 (posedge USERCLK2) (0.669::0.770))
@@ -1642,44 +1642,44 @@
             (SETUP CFGERRATOMICEGRESSBLOCKEDN (posedge USERCLK2) (-0.064::-0.056))
             (HOLD CFGERRCORN (posedge USERCLK2) (0.684::0.787))
             (SETUP CFGERRCORN (posedge USERCLK2) (-0.078::-0.068))
-            (HOLD CFGERRCPLABORTN (posedge USERCLK2) (0.677::0.779))
-            (SETUP CFGERRCPLABORTN (posedge USERCLK2) (0.001::0.001))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.677::0.779))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.001::0.001))
             (HOLD CFGERRCPLTIMEOUTN (posedge USERCLK2) (0.700::0.805))
             (SETUP CFGERRCPLTIMEOUTN (posedge USERCLK2) (-0.035::-0.031))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.694::0.799))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.001::-0.001))
+            (HOLD CFGERRCPLUNEXPECTN (posedge USERCLK2) (0.694::0.799))
+            (SETUP CFGERRCPLUNEXPECTN (posedge USERCLK2) (-0.001::-0.001))
             (HOLD CFGERRECRCN (posedge USERCLK2) (0.695::0.800))
             (SETUP CFGERRECRCN (posedge USERCLK2) (-0.023::-0.020))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.651::0.749))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.033::0.038))
+            (HOLD CFGERRINTERNALCORN (posedge USERCLK2) (0.651::0.749))
+            (SETUP CFGERRINTERNALCORN (posedge USERCLK2) (0.033::0.038))
             (HOLD CFGERRINTERNALUNCORN (posedge USERCLK2) (0.662::0.761))
             (SETUP CFGERRINTERNALUNCORN (posedge USERCLK2) (0.073::0.084))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.583::0.671))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.158::0.182))
+            (HOLD CFGERRLOCKEDN (posedge USERCLK2) (0.583::0.671))
+            (SETUP CFGERRLOCKEDN (posedge USERCLK2) (0.158::0.182))
             (HOLD CFGERRMALFORMEDN (posedge USERCLK2) (0.717::0.825))
             (SETUP CFGERRMALFORMEDN (posedge USERCLK2) (-0.127::-0.110))
             (HOLD USERCLK2 (posedge USERCLK2) (0.620::0.713))
             (SETUP USERCLK2 (posedge USERCLK2) (0.077::0.088))
             (HOLD USERCLK2 (posedge USERCLK2) (0.582::0.670))
             (SETUP USERCLK2 (posedge USERCLK2) (0.136::0.156))
-            (HOLD CFGERRPOISONEDN (posedge USERCLK2) (0.671::0.772))
-            (SETUP CFGERRPOISONEDN (posedge USERCLK2) (-0.046::-0.040))
-            (HOLD CFGERRPOSTEDN (posedge USERCLK2) (0.646::0.744))
-            (SETUP CFGERRPOSTEDN (posedge USERCLK2) (0.062::0.072))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.671::0.772))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.046::-0.040))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.646::0.744))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.062::0.072))
             (HOLD CFGERRTLPCPLHEADER0 (posedge USERCLK2) (0.655::0.753))
             (SETUP CFGERRTLPCPLHEADER0 (posedge USERCLK2) (0.002::0.002))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.709::0.815))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.123::-0.108))
+            (HOLD CFGERRTLPCPLHEADER10 (posedge USERCLK2) (0.709::0.815))
+            (SETUP CFGERRTLPCPLHEADER10 (posedge USERCLK2) (-0.123::-0.108))
             (HOLD CFGERRTLPCPLHEADER11 (posedge USERCLK2) (0.710::0.816))
             (SETUP CFGERRTLPCPLHEADER11 (posedge USERCLK2) (-0.112::-0.098))
             (HOLD CFGERRTLPCPLHEADER12 (posedge USERCLK2) (0.705::0.811))
             (SETUP CFGERRTLPCPLHEADER12 (posedge USERCLK2) (-0.111::-0.097))
-            (HOLD CFGERRTLPCPLHEADER13 (posedge USERCLK2) (0.719::0.827))
-            (SETUP CFGERRTLPCPLHEADER13 (posedge USERCLK2) (-0.130::-0.113))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.719::0.827))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.130::-0.113))
             (HOLD CFGERRTLPCPLHEADER14 (posedge USERCLK2) (0.695::0.800))
             (SETUP CFGERRTLPCPLHEADER14 (posedge USERCLK2) (-0.106::-0.092))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.715::0.823))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.079::-0.069))
+            (HOLD CFGERRTLPCPLHEADER15 (posedge USERCLK2) (0.715::0.823))
+            (SETUP CFGERRTLPCPLHEADER15 (posedge USERCLK2) (-0.079::-0.069))
             (HOLD CFGERRTLPCPLHEADER16 (posedge USERCLK2) (0.704::0.810))
             (SETUP CFGERRTLPCPLHEADER16 (posedge USERCLK2) (-0.099::-0.086))
             (HOLD CFGERRTLPCPLHEADER17 (posedge USERCLK2) (0.706::0.812))
@@ -1692,26 +1692,26 @@
             (SETUP CFGERRTLPCPLHEADER1 (posedge USERCLK2) (-0.025::-0.022))
             (HOLD CFGERRTLPCPLHEADER20 (posedge USERCLK2) (0.681::0.783))
             (SETUP CFGERRTLPCPLHEADER20 (posedge USERCLK2) (-0.070::-0.061))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.690::0.794))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.036::-0.032))
-            (HOLD CFGERRTLPCPLHEADER22 (posedge USERCLK2) (0.692::0.796))
-            (SETUP CFGERRTLPCPLHEADER22 (posedge USERCLK2) (0.032::0.036))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.691::0.795))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.002::0.002))
+            (HOLD CFGERRTLPCPLHEADER21 (posedge USERCLK2) (0.690::0.794))
+            (SETUP CFGERRTLPCPLHEADER21 (posedge USERCLK2) (-0.036::-0.032))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.692::0.796))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.032::0.036))
+            (HOLD CFGERRTLPCPLHEADER23 (posedge USERCLK2) (0.691::0.795))
+            (SETUP CFGERRTLPCPLHEADER23 (posedge USERCLK2) (0.002::0.002))
             (HOLD USERCLK2 (posedge USERCLK2) (0.662::0.761))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.065::-0.057))
-            (HOLD CFGERRTLPCPLHEADER25 (posedge USERCLK2) (0.668::0.769))
-            (SETUP CFGERRTLPCPLHEADER25 (posedge USERCLK2) (-0.007::-0.006))
-            (HOLD CFGERRTLPCPLHEADER26 (posedge USERCLK2) (0.664::0.763))
-            (SETUP CFGERRTLPCPLHEADER26 (posedge USERCLK2) (0.006::0.007))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.668::0.769))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.007::-0.006))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.664::0.763))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.006::0.007))
             (HOLD CFGERRTLPCPLHEADER27 (posedge USERCLK2) (0.648::0.746))
             (SETUP CFGERRTLPCPLHEADER27 (posedge USERCLK2) (-0.045::-0.039))
-            (HOLD CFGERRTLPCPLHEADER28 (posedge USERCLK2) (0.648::0.746))
-            (SETUP CFGERRTLPCPLHEADER28 (posedge USERCLK2) (0.005::0.006))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.648::0.746))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.005::0.006))
             (HOLD CFGERRTLPCPLHEADER29 (posedge USERCLK2) (0.664::0.764))
             (SETUP CFGERRTLPCPLHEADER29 (posedge USERCLK2) (0.034::0.040))
-            (HOLD CFGERRTLPCPLHEADER2 (posedge USERCLK2) (0.661::0.760))
-            (SETUP CFGERRTLPCPLHEADER2 (posedge USERCLK2) (0.015::0.018))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.661::0.760))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.015::0.018))
             (HOLD CFGERRTLPCPLHEADER30 (posedge USERCLK2) (0.632::0.727))
             (SETUP CFGERRTLPCPLHEADER30 (posedge USERCLK2) (0.057::0.066))
             (HOLD USERCLK2 (posedge USERCLK2) (0.610::0.702))
@@ -1720,18 +1720,18 @@
             (SETUP CFGERRTLPCPLHEADER32 (posedge USERCLK2) (0.000::0.000))
             (HOLD CFGERRTLPCPLHEADER33 (posedge USERCLK2) (0.592::0.681))
             (SETUP CFGERRTLPCPLHEADER33 (posedge USERCLK2) (0.028::0.032))
-            (HOLD CFGERRTLPCPLHEADER34 (posedge USERCLK2) (0.612::0.704))
-            (SETUP CFGERRTLPCPLHEADER34 (posedge USERCLK2) (0.094::0.108))
-            (HOLD CFGERRTLPCPLHEADER35 (posedge USERCLK2) (0.597::0.686))
-            (SETUP CFGERRTLPCPLHEADER35 (posedge USERCLK2) (0.099::0.114))
-            (HOLD CFGERRTLPCPLHEADER36 (posedge USERCLK2) (0.596::0.685))
-            (SETUP CFGERRTLPCPLHEADER36 (posedge USERCLK2) (0.090::0.104))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.612::0.704))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.094::0.108))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.597::0.686))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.099::0.114))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.596::0.685))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.090::0.104))
             (HOLD CFGERRTLPCPLHEADER37 (posedge USERCLK2) (0.568::0.653))
             (SETUP CFGERRTLPCPLHEADER37 (posedge USERCLK2) (0.064::0.074))
             (HOLD CFGERRTLPCPLHEADER38 (posedge USERCLK2) (0.585::0.673))
             (SETUP CFGERRTLPCPLHEADER38 (posedge USERCLK2) (0.108::0.125))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.543::0.625))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.075::0.086))
+            (HOLD CFGERRTLPCPLHEADER39 (posedge USERCLK2) (0.543::0.625))
+            (SETUP CFGERRTLPCPLHEADER39 (posedge USERCLK2) (0.075::0.086))
             (HOLD CFGERRTLPCPLHEADER3 (posedge USERCLK2) (0.716::0.824))
             (SETUP CFGERRTLPCPLHEADER3 (posedge USERCLK2) (-0.009::-0.008))
             (HOLD CFGERRTLPCPLHEADER40 (posedge USERCLK2) (0.532::0.613))
@@ -1740,12 +1740,12 @@
             (SETUP USERCLK2 (posedge USERCLK2) (0.129::0.149))
             (HOLD USERCLK2 (posedge USERCLK2) (0.535::0.616))
             (SETUP USERCLK2 (posedge USERCLK2) (0.136::0.156))
-            (HOLD CFGERRTLPCPLHEADER43 (posedge USERCLK2) (0.570::0.655))
-            (SETUP CFGERRTLPCPLHEADER43 (posedge USERCLK2) (0.134::0.154))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.570::0.655))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.134::0.154))
             (HOLD CFGERRTLPCPLHEADER44 (posedge USERCLK2) (0.542::0.623))
             (SETUP CFGERRTLPCPLHEADER44 (posedge USERCLK2) (0.129::0.149))
-            (HOLD CFGERRTLPCPLHEADER45 (posedge USERCLK2) (0.549::0.631))
-            (SETUP CFGERRTLPCPLHEADER45 (posedge USERCLK2) (0.136::0.156))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.549::0.631))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.136::0.156))
             (HOLD CFGERRTLPCPLHEADER46 (posedge USERCLK2) (0.577::0.664))
             (SETUP CFGERRTLPCPLHEADER46 (posedge USERCLK2) (0.095::0.109))
             (HOLD USERCLK2 (posedge USERCLK2) (0.591::0.680))
@@ -1756,36 +1756,36 @@
             (SETUP USERCLK2 (posedge USERCLK2) (-0.077::-0.067))
             (HOLD CFGERRTLPCPLHEADER6 (posedge USERCLK2) (0.724::0.833))
             (SETUP CFGERRTLPCPLHEADER6 (posedge USERCLK2) (-0.118::-0.103))
-            (HOLD CFGERRTLPCPLHEADER7 (posedge USERCLK2) (0.726::0.835))
-            (SETUP CFGERRTLPCPLHEADER7 (posedge USERCLK2) (-0.121::-0.106))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.726::0.835))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.121::-0.106))
             (HOLD USERCLK2 (posedge USERCLK2) (0.732::0.842))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.119::-0.104))
             (HOLD CFGERRTLPCPLHEADER9 (posedge USERCLK2) (0.731::0.841))
             (SETUP CFGERRTLPCPLHEADER9 (posedge USERCLK2) (-0.120::-0.105))
-            (HOLD CFGERRURN (posedge USERCLK2) (0.738::0.849))
-            (SETUP CFGERRURN (posedge USERCLK2) (-0.097::-0.084))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.617::0.710))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.145::0.166))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.629::0.724))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.153::0.176))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.738::0.849))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.097::-0.084))
+            (HOLD CFGFORCECOMMONCLOCKOFF (posedge USERCLK2) (0.617::0.710))
+            (SETUP CFGFORCECOMMONCLOCKOFF (posedge USERCLK2) (0.145::0.166))
+            (HOLD CFGFORCEEXTENDEDSYNCON (posedge USERCLK2) (0.629::0.724))
+            (SETUP CFGFORCEEXTENDEDSYNCON (posedge USERCLK2) (0.153::0.176))
             (HOLD CFGFORCEMPS0 (posedge USERCLK2) (0.466::0.537))
             (SETUP CFGFORCEMPS0 (posedge USERCLK2) (0.274::0.315))
-            (HOLD CFGFORCEMPS1 (posedge USERCLK2) (0.449::0.517))
-            (SETUP CFGFORCEMPS1 (posedge USERCLK2) (0.284::0.327))
-            (HOLD CFGFORCEMPS2 (posedge USERCLK2) (0.502::0.577))
-            (SETUP CFGFORCEMPS2 (posedge USERCLK2) (0.263::0.303))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.449::0.517))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.284::0.327))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.502::0.577))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.263::0.303))
             (HOLD CFGINTERRUPTASSERTN (posedge USERCLK2) (0.744::0.856))
             (SETUP CFGINTERRUPTASSERTN (posedge USERCLK2) (-0.139::-0.121))
             (HOLD CFGINTERRUPTDI0 (posedge USERCLK2) (0.476::0.548))
             (SETUP CFGINTERRUPTDI0 (posedge USERCLK2) (0.252::0.290))
-            (HOLD CFGINTERRUPTDI1 (posedge USERCLK2) (0.725::0.834))
-            (SETUP CFGINTERRUPTDI1 (posedge USERCLK2) (-0.075::-0.065))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.725::0.834))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.075::-0.065))
             (HOLD CFGINTERRUPTDI2 (posedge USERCLK2) (0.732::0.842))
             (SETUP CFGINTERRUPTDI2 (posedge USERCLK2) (-0.105::-0.091))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.738::0.849))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.107::-0.093))
-            (HOLD CFGINTERRUPTDI4 (posedge USERCLK2) (0.748::0.860))
-            (SETUP CFGINTERRUPTDI4 (posedge USERCLK2) (-0.146::-0.128))
+            (HOLD CFGINTERRUPTDI3 (posedge USERCLK2) (0.738::0.849))
+            (SETUP CFGINTERRUPTDI3 (posedge USERCLK2) (-0.107::-0.093))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.748::0.860))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.146::-0.128))
             (HOLD CFGINTERRUPTDI5 (posedge USERCLK2) (0.733::0.843))
             (SETUP CFGINTERRUPTDI5 (posedge USERCLK2) (-0.152::-0.132))
             (HOLD USERCLK2 (posedge USERCLK2) (0.730::0.840))
@@ -1798,16 +1798,16 @@
             (SETUP CFGINTERRUPTSTATN (posedge USERCLK2) (-0.149::-0.130))
             (HOLD CFGMGMTBYTEENN0 (posedge USERCLK2) (0.680::0.782))
             (SETUP CFGMGMTBYTEENN0 (posedge USERCLK2) (-0.036::-0.032))
-            (HOLD CFGMGMTBYTEENN1 (posedge USERCLK2) (0.768::0.884))
-            (SETUP CFGMGMTBYTEENN1 (posedge USERCLK2) (-0.143::-0.125))
-            (HOLD CFGMGMTBYTEENN2 (posedge USERCLK2) (0.695::0.800))
-            (SETUP CFGMGMTBYTEENN2 (posedge USERCLK2) (-0.086::-0.075))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.770::0.886))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.158::-0.138))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.768::0.884))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.143::-0.125))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.695::0.800))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.086::-0.075))
+            (HOLD CFGMGMTBYTEENN3 (posedge USERCLK2) (0.770::0.886))
+            (SETUP CFGMGMTBYTEENN3 (posedge USERCLK2) (-0.158::-0.138))
             (HOLD CFGMGMTDI0 (posedge USERCLK2) (0.664::0.763))
             (SETUP CFGMGMTDI0 (posedge USERCLK2) (0.036::0.042))
-            (HOLD CFGMGMTDI10 (posedge USERCLK2) (0.694::0.799))
-            (SETUP CFGMGMTDI10 (posedge USERCLK2) (-0.041::-0.036))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.694::0.799))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.041::-0.036))
             (HOLD CFGMGMTDI11 (posedge USERCLK2) (0.712::0.820))
             (SETUP CFGMGMTDI11 (posedge USERCLK2) (-0.128::-0.111))
             (HOLD USERCLK2 (posedge USERCLK2) (0.701::0.806))
@@ -1816,28 +1816,28 @@
             (SETUP CFGMGMTDI13 (posedge USERCLK2) (-0.040::-0.035))
             (HOLD CFGMGMTDI14 (posedge USERCLK2) (0.697::0.802))
             (SETUP CFGMGMTDI14 (posedge USERCLK2) (-0.089::-0.078))
-            (HOLD CFGMGMTDI15 (posedge USERCLK2) (0.716::0.824))
-            (SETUP CFGMGMTDI15 (posedge USERCLK2) (-0.118::-0.103))
-            (HOLD CFGMGMTDI16 (posedge USERCLK2) (0.711::0.817))
-            (SETUP CFGMGMTDI16 (posedge USERCLK2) (-0.122::-0.107))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.716::0.824))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.118::-0.103))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.711::0.817))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.122::-0.107))
             (HOLD CFGMGMTDI17 (posedge USERCLK2) (0.709::0.815))
             (SETUP CFGMGMTDI17 (posedge USERCLK2) (-0.127::-0.110))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.696::0.801))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.098::-0.085))
+            (HOLD CFGMGMTDI18 (posedge USERCLK2) (0.696::0.801))
+            (SETUP CFGMGMTDI18 (posedge USERCLK2) (-0.098::-0.085))
             (HOLD CFGMGMTDI19 (posedge USERCLK2) (0.701::0.806))
             (SETUP CFGMGMTDI19 (posedge USERCLK2) (-0.088::-0.077))
-            (HOLD CFGMGMTDI1 (posedge USERCLK2) (0.602::0.693))
-            (SETUP CFGMGMTDI1 (posedge USERCLK2) (0.061::0.070))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.696::0.801))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.106::-0.092))
-            (HOLD CFGMGMTDI21 (posedge USERCLK2) (0.699::0.804))
-            (SETUP CFGMGMTDI21 (posedge USERCLK2) (-0.116::-0.101))
-            (HOLD CFGMGMTDI22 (posedge USERCLK2) (0.702::0.807))
-            (SETUP CFGMGMTDI22 (posedge USERCLK2) (-0.108::-0.094))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.602::0.693))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.061::0.070))
+            (HOLD CFGMGMTDI20 (posedge USERCLK2) (0.696::0.801))
+            (SETUP CFGMGMTDI20 (posedge USERCLK2) (-0.106::-0.092))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.699::0.804))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.116::-0.101))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.702::0.807))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.108::-0.094))
             (HOLD CFGMGMTDI23 (posedge USERCLK2) (0.678::0.780))
             (SETUP CFGMGMTDI23 (posedge USERCLK2) (0.008::0.009))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.630::0.725))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.077::0.088))
+            (HOLD CFGMGMTDI24 (posedge USERCLK2) (0.630::0.725))
+            (SETUP CFGMGMTDI24 (posedge USERCLK2) (0.077::0.088))
             (HOLD CFGMGMTDI25 (posedge USERCLK2) (0.678::0.780))
             (SETUP CFGMGMTDI25 (posedge USERCLK2) (-0.031::-0.027))
             (HOLD USERCLK2 (posedge USERCLK2) (0.670::0.771))
@@ -1846,18 +1846,18 @@
             (SETUP CFGMGMTDI27 (posedge USERCLK2) (-0.018::-0.015))
             (HOLD CFGMGMTDI28 (posedge USERCLK2) (0.683::0.785))
             (SETUP CFGMGMTDI28 (posedge USERCLK2) (-0.052::-0.045))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.685::0.788))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.040::-0.035))
-            (HOLD CFGMGMTDI2 (posedge USERCLK2) (0.679::0.781))
-            (SETUP CFGMGMTDI2 (posedge USERCLK2) (0.061::0.070))
+            (HOLD CFGMGMTDI29 (posedge USERCLK2) (0.685::0.788))
+            (SETUP CFGMGMTDI29 (posedge USERCLK2) (-0.040::-0.035))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.679::0.781))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.061::0.070))
             (HOLD CFGMGMTDI30 (posedge USERCLK2) (0.683::0.785))
             (SETUP CFGMGMTDI30 (posedge USERCLK2) (-0.046::-0.040))
             (HOLD CFGMGMTDI31 (posedge USERCLK2) (0.729::0.838))
             (SETUP CFGMGMTDI31 (posedge USERCLK2) (-0.128::-0.111))
-            (HOLD CFGMGMTDI3 (posedge USERCLK2) (0.671::0.772))
-            (SETUP CFGMGMTDI3 (posedge USERCLK2) (0.027::0.031))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.647::0.745))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.061::0.071))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.671::0.772))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.027::0.031))
+            (HOLD CFGMGMTDI4 (posedge USERCLK2) (0.647::0.745))
+            (SETUP CFGMGMTDI4 (posedge USERCLK2) (0.061::0.071))
             (HOLD CFGMGMTDI5 (posedge USERCLK2) (0.673::0.774))
             (SETUP CFGMGMTDI5 (posedge USERCLK2) (-0.014::-0.012))
             (HOLD CFGMGMTDI6 (posedge USERCLK2) (0.670::0.771))
@@ -1868,52 +1868,52 @@
             (SETUP CFGMGMTDI8 (posedge USERCLK2) (-0.083::-0.072))
             (HOLD CFGMGMTDI9 (posedge USERCLK2) (0.703::0.809))
             (SETUP CFGMGMTDI9 (posedge USERCLK2) (-0.028::-0.024))
-            (HOLD CFGMGMTDWADDR0 (posedge USERCLK2) (0.758::0.872))
-            (SETUP CFGMGMTDWADDR0 (posedge USERCLK2) (-0.155::-0.135))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.758::0.872))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.155::-0.135))
             (HOLD CFGMGMTDWADDR1 (posedge USERCLK2) (0.757::0.870))
             (SETUP CFGMGMTDWADDR1 (posedge USERCLK2) (-0.162::-0.141))
-            (HOLD CFGMGMTDWADDR2 (posedge USERCLK2) (0.763::0.878))
-            (SETUP CFGMGMTDWADDR2 (posedge USERCLK2) (-0.176::-0.154))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.763::0.878))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.176::-0.154))
             (HOLD CFGMGMTDWADDR3 (posedge USERCLK2) (0.749::0.861))
             (SETUP CFGMGMTDWADDR3 (posedge USERCLK2) (-0.130::-0.113))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.753::0.866))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.100::-0.087))
-            (HOLD CFGMGMTDWADDR5 (posedge USERCLK2) (0.741::0.853))
-            (SETUP CFGMGMTDWADDR5 (posedge USERCLK2) (-0.107::-0.093))
+            (HOLD CFGMGMTDWADDR4 (posedge USERCLK2) (0.753::0.866))
+            (SETUP CFGMGMTDWADDR4 (posedge USERCLK2) (-0.100::-0.087))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.741::0.853))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.107::-0.093))
             (HOLD CFGMGMTDWADDR6 (posedge USERCLK2) (0.748::0.860))
             (SETUP CFGMGMTDWADDR6 (posedge USERCLK2) (-0.163::-0.142))
-            (HOLD CFGMGMTDWADDR7 (posedge USERCLK2) (0.729::0.838))
-            (SETUP CFGMGMTDWADDR7 (posedge USERCLK2) (-0.167::-0.146))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.729::0.838))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.167::-0.146))
             (HOLD CFGMGMTDWADDR8 (posedge USERCLK2) (0.734::0.844))
             (SETUP CFGMGMTDWADDR8 (posedge USERCLK2) (-0.147::-0.129))
-            (HOLD CFGMGMTDWADDR9 (posedge USERCLK2) (0.753::0.866))
-            (SETUP CFGMGMTDWADDR9 (posedge USERCLK2) (-0.164::-0.143))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.733::0.843))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.102::-0.089))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.753::0.866))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.164::-0.143))
+            (HOLD CFGMGMTRDENN (posedge USERCLK2) (0.733::0.843))
+            (SETUP CFGMGMTRDENN (posedge USERCLK2) (-0.102::-0.089))
             (HOLD CFGMGMTWRENN (posedge USERCLK2) (0.736::0.847))
             (SETUP CFGMGMTWRENN (posedge USERCLK2) (-0.091::-0.080))
-            (HOLD CFGMGMTWRREADONLYN (posedge USERCLK2) (0.725::0.834))
-            (SETUP CFGMGMTWRREADONLYN (posedge USERCLK2) (-0.065::-0.057))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.725::0.834))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.065::-0.057))
             (HOLD CFGMGMTWRRW1CASRWN (posedge USERCLK2) (0.732::0.842))
             (SETUP CFGMGMTWRRW1CASRWN (posedge USERCLK2) (-0.113::-0.099))
-            (HOLD CFGPCIECAPINTERRUPTMSGNUM0 (posedge USERCLK2) (0.543::0.625))
-            (SETUP CFGPCIECAPINTERRUPTMSGNUM0 (posedge USERCLK2) (0.216::0.249))
-            (HOLD CFGPCIECAPINTERRUPTMSGNUM1 (posedge USERCLK2) (0.556::0.640))
-            (SETUP CFGPCIECAPINTERRUPTMSGNUM1 (posedge USERCLK2) (0.217::0.250))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.543::0.625))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.216::0.249))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.556::0.640))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.217::0.250))
             (HOLD CFGPCIECAPINTERRUPTMSGNUM2 (posedge USERCLK2) (0.595::0.684))
             (SETUP CFGPCIECAPINTERRUPTMSGNUM2 (posedge USERCLK2) (0.112::0.129))
             (HOLD CFGPCIECAPINTERRUPTMSGNUM3 (posedge USERCLK2) (0.527::0.606))
             (SETUP CFGPCIECAPINTERRUPTMSGNUM3 (posedge USERCLK2) (0.248::0.285))
-            (HOLD CFGPCIECAPINTERRUPTMSGNUM4 (posedge USERCLK2) (0.570::0.655))
-            (SETUP CFGPCIECAPINTERRUPTMSGNUM4 (posedge USERCLK2) (0.138::0.159))
-            (HOLD CFGPMFORCESTATE0 (posedge USERCLK2) (0.548::0.630))
-            (SETUP CFGPMFORCESTATE0 (posedge USERCLK2) (0.432::0.497))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.479::0.551))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.388::0.446))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.415::0.477))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.376::0.433))
-            (HOLD CFGPMHALTASPML0SN (posedge USERCLK2) (0.490::0.564))
-            (SETUP CFGPMHALTASPML0SN (posedge USERCLK2) (0.403::0.464))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.570::0.655))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.138::0.159))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.548::0.630))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.432::0.497))
+            (HOLD CFGPMFORCESTATE1 (posedge USERCLK2) (0.479::0.551))
+            (SETUP CFGPMFORCESTATE1 (posedge USERCLK2) (0.388::0.446))
+            (HOLD CFGPMFORCESTATEENN (posedge USERCLK2) (0.415::0.477))
+            (SETUP CFGPMFORCESTATEENN (posedge USERCLK2) (0.376::0.433))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.490::0.564))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.403::0.464))
             (HOLD CFGPMHALTASPML1N (posedge USERCLK2) (0.397::0.457))
             (SETUP CFGPMHALTASPML1N (posedge USERCLK2) (0.608::0.699))
             (HOLD CFGPMSENDPMETON (posedge USERCLK2) (0.465::0.535))
@@ -1934,44 +1934,44 @@
             (SETUP CFGPORTNUMBER4 (posedge USERCLK2) (0.138::0.159))
             (HOLD CFGPORTNUMBER5 (posedge USERCLK2) (0.560::0.644))
             (SETUP CFGPORTNUMBER5 (posedge USERCLK2) (0.197::0.227))
-            (HOLD CFGPORTNUMBER6 (posedge USERCLK2) (0.564::0.649))
-            (SETUP CFGPORTNUMBER6 (posedge USERCLK2) (0.097::0.111))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.564::0.649))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.097::0.111))
             (HOLD CFGPORTNUMBER7 (posedge USERCLK2) (0.558::0.642))
             (SETUP CFGPORTNUMBER7 (posedge USERCLK2) (0.080::0.093))
-            (HOLD CFGREVID0 (posedge USERCLK2) (0.581::0.669))
-            (SETUP CFGREVID0 (posedge USERCLK2) (0.222::0.256))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.581::0.669))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.222::0.256))
             (HOLD CFGREVID1 (posedge USERCLK2) (0.537::0.618))
             (SETUP CFGREVID1 (posedge USERCLK2) (0.172::0.198))
             (HOLD CFGREVID2 (posedge USERCLK2) (0.567::0.652))
             (SETUP CFGREVID2 (posedge USERCLK2) (0.165::0.189))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.538::0.619))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.228::0.262))
-            (HOLD CFGREVID4 (posedge USERCLK2) (0.590::0.679))
-            (SETUP CFGREVID4 (posedge USERCLK2) (0.137::0.157))
+            (HOLD CFGREVID3 (posedge USERCLK2) (0.538::0.619))
+            (SETUP CFGREVID3 (posedge USERCLK2) (0.228::0.262))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.590::0.679))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.137::0.157))
             (HOLD USERCLK2 (posedge USERCLK2) (0.599::0.690))
             (SETUP USERCLK2 (posedge USERCLK2) (0.111::0.128))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.564::0.649))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.168::0.193))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.588::0.676))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.085::0.098))
-            (HOLD CFGSUBSYSID0 (posedge USERCLK2) (0.616::0.708))
-            (SETUP CFGSUBSYSID0 (posedge USERCLK2) (0.131::0.151))
+            (HOLD CFGREVID6 (posedge USERCLK2) (0.564::0.649))
+            (SETUP CFGREVID6 (posedge USERCLK2) (0.168::0.193))
+            (HOLD CFGREVID7 (posedge USERCLK2) (0.588::0.676))
+            (SETUP CFGREVID7 (posedge USERCLK2) (0.085::0.098))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.616::0.708))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.131::0.151))
             (HOLD CFGSUBSYSID10 (posedge USERCLK2) (0.721::0.829))
             (SETUP CFGSUBSYSID10 (posedge USERCLK2) (-0.011::-0.010))
             (HOLD CFGSUBSYSID11 (posedge USERCLK2) (0.714::0.822))
             (SETUP CFGSUBSYSID11 (posedge USERCLK2) (-0.032::-0.028))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.720::0.828))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.009::-0.008))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.717::0.825))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.035::-0.031))
-            (HOLD CFGSUBSYSID14 (posedge USERCLK2) (0.751::0.864))
-            (SETUP CFGSUBSYSID14 (posedge USERCLK2) (-0.190::-0.166))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.750::0.863))
+            (HOLD CFGSUBSYSID12 (posedge USERCLK2) (0.720::0.828))
+            (SETUP CFGSUBSYSID12 (posedge USERCLK2) (-0.009::-0.008))
+            (HOLD CFGSUBSYSID13 (posedge USERCLK2) (0.717::0.825))
+            (SETUP CFGSUBSYSID13 (posedge USERCLK2) (-0.035::-0.031))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.751::0.864))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.190::-0.166))
+            (HOLD CFGSUBSYSID15 (posedge USERCLK2) (0.750::0.863))
+            (SETUP CFGSUBSYSID15 (posedge USERCLK2) (-0.190::-0.166))
             (HOLD CFGSUBSYSID1 (posedge USERCLK2) (0.629::0.724))
             (SETUP CFGSUBSYSID1 (posedge USERCLK2) (0.079::0.090))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.674::0.776))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.080::-0.070))
+            (HOLD CFGSUBSYSID2 (posedge USERCLK2) (0.674::0.776))
+            (SETUP CFGSUBSYSID2 (posedge USERCLK2) (-0.080::-0.070))
             (HOLD CFGSUBSYSID3 (posedge USERCLK2) (0.643::0.739))
             (SETUP CFGSUBSYSID3 (posedge USERCLK2) (-0.032::-0.028))
             (HOLD CFGSUBSYSID4 (posedge USERCLK2) (0.692::0.796))
@@ -1984,38 +1984,38 @@
             (SETUP CFGSUBSYSID7 (posedge USERCLK2) (-0.064::-0.056))
             (HOLD CFGSUBSYSID8 (posedge USERCLK2) (0.696::0.801))
             (SETUP CFGSUBSYSID8 (posedge USERCLK2) (-0.158::-0.138))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.692::0.797))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.099::-0.086))
+            (HOLD CFGSUBSYSID9 (posedge USERCLK2) (0.692::0.797))
+            (SETUP CFGSUBSYSID9 (posedge USERCLK2) (-0.099::-0.086))
             (HOLD CFGSUBSYSVENDID0 (posedge USERCLK2) (0.730::0.840))
             (SETUP CFGSUBSYSVENDID0 (posedge USERCLK2) (-0.166::-0.145))
             (HOLD CFGSUBSYSVENDID10 (posedge USERCLK2) (0.739::0.851))
             (SETUP CFGSUBSYSVENDID10 (posedge USERCLK2) (-0.119::-0.104))
-            (HOLD CFGSUBSYSVENDID11 (posedge USERCLK2) (0.733::0.843))
-            (SETUP CFGSUBSYSVENDID11 (posedge USERCLK2) (-0.143::-0.125))
-            (HOLD CFGSUBSYSVENDID12 (posedge USERCLK2) (0.730::0.840))
-            (SETUP CFGSUBSYSVENDID12 (posedge USERCLK2) (-0.164::-0.143))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.733::0.843))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.143::-0.125))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.730::0.840))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.164::-0.143))
             (HOLD CFGSUBSYSVENDID13 (posedge USERCLK2) (0.725::0.834))
             (SETUP CFGSUBSYSVENDID13 (posedge USERCLK2) (-0.154::-0.134))
             (HOLD CFGSUBSYSVENDID14 (posedge USERCLK2) (0.720::0.828))
             (SETUP CFGSUBSYSVENDID14 (posedge USERCLK2) (-0.145::-0.127))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.702::0.807))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.129::-0.112))
-            (HOLD CFGSUBSYSVENDID1 (posedge USERCLK2) (0.731::0.841))
-            (SETUP CFGSUBSYSVENDID1 (posedge USERCLK2) (-0.178::-0.156))
-            (HOLD CFGSUBSYSVENDID2 (posedge USERCLK2) (0.734::0.844))
-            (SETUP CFGSUBSYSVENDID2 (posedge USERCLK2) (-0.178::-0.156))
+            (HOLD CFGSUBSYSVENDID15 (posedge USERCLK2) (0.702::0.807))
+            (SETUP CFGSUBSYSVENDID15 (posedge USERCLK2) (-0.129::-0.112))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.731::0.841))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.178::-0.156))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.734::0.844))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.178::-0.156))
             (HOLD USERCLK2 (posedge USERCLK2) (0.734::0.844))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.181::-0.157))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.749::0.862))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.196::-0.171))
+            (HOLD CFGSUBSYSVENDID4 (posedge USERCLK2) (0.749::0.862))
+            (SETUP CFGSUBSYSVENDID4 (posedge USERCLK2) (-0.196::-0.171))
             (HOLD CFGSUBSYSVENDID5 (posedge USERCLK2) (0.749::0.861))
             (SETUP CFGSUBSYSVENDID5 (posedge USERCLK2) (-0.197::-0.172))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.748::0.860))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.196::-0.171))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.744::0.856))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.192::-0.167))
-            (HOLD CFGSUBSYSVENDID8 (posedge USERCLK2) (0.737::0.848))
-            (SETUP CFGSUBSYSVENDID8 (posedge USERCLK2) (-0.158::-0.138))
+            (HOLD CFGSUBSYSVENDID6 (posedge USERCLK2) (0.748::0.860))
+            (SETUP CFGSUBSYSVENDID6 (posedge USERCLK2) (-0.196::-0.171))
+            (HOLD CFGSUBSYSVENDID7 (posedge USERCLK2) (0.744::0.856))
+            (SETUP CFGSUBSYSVENDID7 (posedge USERCLK2) (-0.192::-0.167))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.737::0.848))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.158::-0.138))
             (HOLD USERCLK2 (posedge USERCLK2) (0.739::0.850))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.153::-0.133))
             (HOLD USERCLK2 (posedge USERCLK2) (0.618::0.711))
@@ -2030,78 +2030,78 @@
             (SETUP CFGVENDID12 (posedge USERCLK2) (-0.167::-0.146))
             (HOLD CFGVENDID13 (posedge USERCLK2) (0.756::0.869))
             (SETUP CFGVENDID13 (posedge USERCLK2) (-0.194::-0.169))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.500::0.575))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.379::0.436))
+            (HOLD CFGVENDID14 (posedge USERCLK2) (0.500::0.575))
+            (SETUP CFGVENDID14 (posedge USERCLK2) (0.379::0.436))
             (HOLD USERCLK2 (posedge USERCLK2) (0.528::0.607))
             (SETUP USERCLK2 (posedge USERCLK2) (0.317::0.365))
             (HOLD CFGVENDID1 (posedge USERCLK2) (0.730::0.839))
             (SETUP CFGVENDID1 (posedge USERCLK2) (-0.131::-0.114))
             (HOLD CFGVENDID2 (posedge USERCLK2) (0.742::0.854))
             (SETUP CFGVENDID2 (posedge USERCLK2) (-0.157::-0.137))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.739::0.851))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.164::-0.143))
+            (HOLD CFGVENDID3 (posedge USERCLK2) (0.739::0.851))
+            (SETUP CFGVENDID3 (posedge USERCLK2) (-0.164::-0.143))
             (HOLD CFGVENDID4 (posedge USERCLK2) (0.739::0.851))
             (SETUP CFGVENDID4 (posedge USERCLK2) (-0.164::-0.143))
             (HOLD CFGVENDID5 (posedge USERCLK2) (0.738::0.849))
             (SETUP CFGVENDID5 (posedge USERCLK2) (-0.133::-0.116))
             (HOLD CFGVENDID6 (posedge USERCLK2) (0.751::0.864))
             (SETUP CFGVENDID6 (posedge USERCLK2) (-0.181::-0.157))
-            (HOLD CFGVENDID7 (posedge USERCLK2) (0.757::0.870))
-            (SETUP CFGVENDID7 (posedge USERCLK2) (-0.175::-0.153))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.757::0.870))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.175::-0.153))
             (HOLD CFGVENDID8 (posedge USERCLK2) (0.756::0.869))
             (SETUP CFGVENDID8 (posedge USERCLK2) (-0.175::-0.153))
             (HOLD CFGVENDID9 (posedge USERCLK2) (0.755::0.868))
             (SETUP CFGVENDID9 (posedge USERCLK2) (-0.135::-0.118))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.421::0.485))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.579::0.666))
+            (HOLD CMRSTN (posedge USERCLK2) (0.421::0.485))
+            (SETUP CMRSTN (posedge USERCLK2) (0.579::0.666))
             (HOLD CMSTICKYRSTN (posedge USERCLK2) (0.603::0.694))
             (SETUP CMSTICKYRSTN (posedge USERCLK2) (0.447::0.514))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.425::0.489))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.467::0.538))
+            (HOLD DBGMODE0 (posedge USERCLK2) (0.425::0.489))
+            (SETUP DBGMODE0 (posedge USERCLK2) (0.467::0.538))
             (HOLD DBGMODE1 (posedge USERCLK2) (0.677::0.779))
             (SETUP DBGMODE1 (posedge USERCLK2) (-0.077::-0.067))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.695::0.800))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.123::-0.108))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.533::0.614))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.427::0.491))
-            (HOLD DRPCLK (posedge DRPCLK) (0.447::0.514))
-            (SETUP DRPCLK (posedge DRPCLK) (0.083::0.096))
+            (HOLD DBGSUBMODE (posedge USERCLK2) (0.695::0.800))
+            (SETUP DBGSUBMODE (posedge USERCLK2) (-0.123::-0.108))
+            (HOLD DLRSTN (posedge USERCLK2) (0.533::0.614))
+            (SETUP DLRSTN (posedge USERCLK2) (0.427::0.491))
+            (HOLD DRPADDR0 (posedge DRPCLK) (0.447::0.514))
+            (SETUP DRPADDR0 (posedge DRPCLK) (0.083::0.096))
             (HOLD DRPCLK (posedge DRPCLK) (0.434::0.499))
             (SETUP DRPCLK (posedge DRPCLK) (0.197::0.227))
-            (HOLD DRPCLK (posedge DRPCLK) (0.347::0.399))
-            (SETUP DRPCLK (posedge DRPCLK) (0.299::0.344))
+            (HOLD DRPADDR2 (posedge DRPCLK) (0.347::0.399))
+            (SETUP DRPADDR2 (posedge DRPCLK) (0.299::0.344))
             (HOLD DRPCLK (posedge DRPCLK) (0.439::0.505))
             (SETUP DRPCLK (posedge DRPCLK) (0.196::0.226))
             (HOLD DRPCLK (posedge DRPCLK) (0.382::0.439))
             (SETUP DRPCLK (posedge DRPCLK) (0.229::0.263))
             (HOLD DRPCLK (posedge DRPCLK) (0.401::0.462))
             (SETUP DRPCLK (posedge DRPCLK) (0.209::0.240))
-            (HOLD DRPCLK (posedge DRPCLK) (0.373::0.430))
-            (SETUP DRPCLK (posedge DRPCLK) (0.252::0.290))
-            (HOLD DRPCLK (posedge DRPCLK) (0.338::0.389))
-            (SETUP DRPCLK (posedge DRPCLK) (0.240::0.277))
-            (HOLD DRPCLK (posedge DRPCLK) (0.334::0.384))
-            (SETUP DRPCLK (posedge DRPCLK) (0.277::0.318))
+            (HOLD DRPADDR6 (posedge DRPCLK) (0.373::0.430))
+            (SETUP DRPADDR6 (posedge DRPCLK) (0.252::0.290))
+            (HOLD DRPADDR7 (posedge DRPCLK) (0.338::0.389))
+            (SETUP DRPADDR7 (posedge DRPCLK) (0.240::0.277))
+            (HOLD DRPADDR8 (posedge DRPCLK) (0.334::0.384))
+            (SETUP DRPADDR8 (posedge DRPCLK) (0.277::0.318))
             (HOLD DRPCLK (posedge DRPCLK) (0.332::0.382))
             (SETUP DRPCLK (posedge DRPCLK) (0.291::0.335))
-            (HOLD DRPCLK (posedge DRPCLK) (0.343::0.394))
-            (SETUP DRPCLK (posedge DRPCLK) (0.268::0.309))
-            (HOLD DRPCLK (posedge DRPCLK) (0.370::0.425))
-            (SETUP DRPCLK (posedge DRPCLK) (0.331::0.381))
+            (HOLD DRPDI10 (posedge DRPCLK) (0.343::0.394))
+            (SETUP DRPDI10 (posedge DRPCLK) (0.268::0.309))
+            (HOLD DRPDI11 (posedge DRPCLK) (0.370::0.425))
+            (SETUP DRPDI11 (posedge DRPCLK) (0.331::0.381))
             (HOLD DRPCLK (posedge DRPCLK) (0.318::0.366))
             (SETUP DRPCLK (posedge DRPCLK) (0.408::0.469))
             (HOLD DRPCLK (posedge DRPCLK) (0.320::0.368))
             (SETUP DRPCLK (posedge DRPCLK) (0.452::0.520))
-            (HOLD DRPCLK (posedge DRPCLK) (0.329::0.379))
-            (SETUP DRPCLK (posedge DRPCLK) (0.268::0.308))
+            (HOLD DRPDI14 (posedge DRPCLK) (0.329::0.379))
+            (SETUP DRPDI14 (posedge DRPCLK) (0.268::0.308))
             (HOLD DRPCLK (posedge DRPCLK) (0.340::0.391))
             (SETUP DRPCLK (posedge DRPCLK) (0.397::0.457))
-            (HOLD DRPCLK (posedge DRPCLK) (0.372::0.427))
-            (SETUP DRPCLK (posedge DRPCLK) (0.278::0.319))
+            (HOLD DRPDI1 (posedge DRPCLK) (0.372::0.427))
+            (SETUP DRPDI1 (posedge DRPCLK) (0.278::0.319))
             (HOLD DRPCLK (posedge DRPCLK) (0.375::0.432))
             (SETUP DRPCLK (posedge DRPCLK) (0.290::0.334))
-            (HOLD DRPCLK (posedge DRPCLK) (0.358::0.412))
-            (SETUP DRPCLK (posedge DRPCLK) (0.263::0.303))
+            (HOLD DRPDI3 (posedge DRPCLK) (0.358::0.412))
+            (SETUP DRPDI3 (posedge DRPCLK) (0.263::0.303))
             (HOLD DRPCLK (posedge DRPCLK) (0.353::0.407))
             (SETUP DRPCLK (posedge DRPCLK) (0.184::0.212))
             (HOLD DRPCLK (posedge DRPCLK) (0.391::0.449))
@@ -2110,50 +2110,50 @@
             (SETUP DRPCLK (posedge DRPCLK) (0.170::0.196))
             (HOLD DRPCLK (posedge DRPCLK) (0.355::0.409))
             (SETUP DRPCLK (posedge DRPCLK) (0.188::0.216))
-            (HOLD DRPCLK (posedge DRPCLK) (0.371::0.426))
-            (SETUP DRPCLK (posedge DRPCLK) (0.235::0.270))
+            (HOLD DRPDI8 (posedge DRPCLK) (0.371::0.426))
+            (SETUP DRPDI8 (posedge DRPCLK) (0.235::0.270))
             (HOLD DRPCLK (posedge DRPCLK) (0.372::0.427))
             (SETUP DRPCLK (posedge DRPCLK) (0.306::0.352))
-            (HOLD DRPCLK (posedge DRPCLK) (0.328::0.378))
-            (SETUP DRPCLK (posedge DRPCLK) (0.312::0.359))
+            (HOLD DRPEN (posedge DRPCLK) (0.328::0.378))
+            (SETUP DRPEN (posedge DRPCLK) (0.312::0.359))
             (HOLD DRPCLK (posedge DRPCLK) (0.401::0.462))
             (SETUP DRPCLK (posedge DRPCLK) (0.221::0.255))
-            (HOLD EDTBYPASS (posedge EDTCLK) (0.456::0.524))
-            (SETUP EDTBYPASS (posedge EDTCLK) (0.984::1.133))
-            (HOLD EDTCHANNELSIN1 (posedge EDTCLK) (0.446::0.513))
-            (SETUP EDTCHANNELSIN1 (posedge EDTCLK) (0.297::0.342))
-            (HOLD EDTCHANNELSIN2 (posedge EDTCLK) (0.173::0.199))
-            (SETUP EDTCHANNELSIN2 (posedge EDTCLK) (0.475::0.546))
-            (HOLD EDTCHANNELSIN3 (posedge EDTCLK) (0.401::0.462))
-            (SETUP EDTCHANNELSIN3 (posedge EDTCLK) (0.324::0.372))
-            (HOLD EDTCHANNELSIN4 (posedge EDTCLK) (0.503::0.578))
-            (SETUP EDTCHANNELSIN4 (posedge EDTCLK) (0.297::0.341))
-            (HOLD EDTCHANNELSIN5 (posedge EDTCLK) (0.618::0.711))
-            (SETUP EDTCHANNELSIN5 (posedge EDTCLK) (0.108::0.124))
-            (HOLD EDTCHANNELSIN6 (posedge EDTCLK) (0.549::0.631))
-            (SETUP EDTCHANNELSIN6 (posedge EDTCLK) (0.477::0.549))
-            (HOLD EDTCHANNELSIN7 (posedge EDTCLK) (0.565::0.650))
-            (SETUP EDTCHANNELSIN7 (posedge EDTCLK) (0.194::0.224))
-            (HOLD EDTCHANNELSIN8 (posedge EDTCLK) (0.667::0.768))
-            (SETUP EDTCHANNELSIN8 (posedge EDTCLK) (0.097::0.111))
-            (HOLD EDTCLK (posedge EDTCLK) (0.433::0.498))
-            (SETUP EDTCLK (posedge EDTCLK) (0.774::0.890))
-            (HOLD EDTSINGLEBYPASSCHAIN (posedge EDTCLK) (0.347::0.399))
-            (SETUP EDTSINGLEBYPASSCHAIN (posedge EDTCLK) (0.997::1.147))
-            (HOLD EDTUPDATE (posedge EDTCLK) (0.303::0.348))
-            (SETUP EDTUPDATE (posedge EDTCLK) (0.955::1.098))
+            (HOLD EDTCLK (posedge EDTCLK) (0.456::0.524))
+            (SETUP EDTCLK (posedge EDTCLK) (0.984::1.133))
+            (HOLD EDTCLK (posedge EDTCLK) (0.446::0.513))
+            (SETUP EDTCLK (posedge EDTCLK) (0.297::0.342))
+            (HOLD EDTCLK (posedge EDTCLK) (0.173::0.199))
+            (SETUP EDTCLK (posedge EDTCLK) (0.475::0.546))
+            (HOLD EDTCLK (posedge EDTCLK) (0.401::0.462))
+            (SETUP EDTCLK (posedge EDTCLK) (0.324::0.372))
+            (HOLD EDTCLK (posedge EDTCLK) (0.503::0.578))
+            (SETUP EDTCLK (posedge EDTCLK) (0.297::0.341))
+            (HOLD EDTCLK (posedge EDTCLK) (0.618::0.711))
+            (SETUP EDTCLK (posedge EDTCLK) (0.108::0.124))
+            (HOLD EDTCLK (posedge EDTCLK) (0.549::0.631))
+            (SETUP EDTCLK (posedge EDTCLK) (0.477::0.549))
+            (HOLD EDTCLK (posedge EDTCLK) (0.565::0.650))
+            (SETUP EDTCLK (posedge EDTCLK) (0.194::0.224))
+            (HOLD EDTCLK (posedge EDTCLK) (0.667::0.768))
+            (SETUP EDTCLK (posedge EDTCLK) (0.097::0.111))
+            (HOLD EDTCONFIGURATION (posedge EDTCLK) (0.433::0.498))
+            (SETUP EDTCONFIGURATION (posedge EDTCLK) (0.774::0.890))
+            (HOLD EDTCLK (posedge EDTCLK) (0.347::0.399))
+            (SETUP EDTCLK (posedge EDTCLK) (0.997::1.147))
+            (HOLD EDTCLK (posedge EDTCLK) (0.303::0.348))
+            (SETUP EDTCLK (posedge EDTCLK) (0.955::1.098))
             (HOLD FUNCLVLRSTN (posedge USERCLK2) (0.518::0.596))
             (SETUP FUNCLVLRSTN (posedge USERCLK2) (0.335::0.386))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.532::0.613))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.343::0.394))
+            (HOLD LL2SENDASREQL1 (posedge USERCLK2) (0.532::0.613))
+            (SETUP LL2SENDASREQL1 (posedge USERCLK2) (0.343::0.394))
             (HOLD LL2SENDENTERL1 (posedge USERCLK2) (0.525::0.604))
             (SETUP LL2SENDENTERL1 (posedge USERCLK2) (0.345::0.397))
             (HOLD LL2SENDENTERL23 (posedge USERCLK2) (0.626::0.720))
             (SETUP LL2SENDENTERL23 (posedge USERCLK2) (0.049::0.056))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.504::0.579))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.443::0.510))
-            (HOLD LL2SUSPENDNOW (posedge USERCLK2) (0.533::0.614))
-            (SETUP LL2SUSPENDNOW (posedge USERCLK2) (0.140::0.161))
+            (HOLD LL2SENDPMACK (posedge USERCLK2) (0.504::0.579))
+            (SETUP LL2SENDPMACK (posedge USERCLK2) (0.443::0.510))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.533::0.614))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.140::0.161))
             (HOLD LL2TLPRCV (posedge USERCLK2) (0.602::0.693))
             (SETUP LL2TLPRCV (posedge USERCLK2) (0.045::0.052))
             (HOLD USERCLK (posedge USERCLK) (0.655::0.753))
@@ -2164,8 +2164,8 @@
             (SETUP USERCLK (posedge USERCLK) (-0.157::-0.137))
             (HOLD USERCLK (posedge USERCLK) (0.662::0.761))
             (SETUP USERCLK (posedge USERCLK) (-0.165::-0.144))
-            (HOLD USERCLK (posedge USERCLK) (0.661::0.760))
-            (SETUP USERCLK (posedge USERCLK) (-0.164::-0.143))
+            (HOLD MIMRXRDATA13 (posedge USERCLK) (0.661::0.760))
+            (SETUP MIMRXRDATA13 (posedge USERCLK) (-0.164::-0.143))
             (HOLD USERCLK (posedge USERCLK) (0.662::0.761))
             (SETUP USERCLK (posedge USERCLK) (-0.164::-0.143))
             (HOLD USERCLK (posedge USERCLK) (0.662::0.761))
@@ -2192,8 +2192,8 @@
             (SETUP USERCLK (posedge USERCLK) (-0.194::-0.169))
             (HOLD USERCLK (posedge USERCLK) (0.644::0.740))
             (SETUP USERCLK (posedge USERCLK) (-0.196::-0.171))
-            (HOLD USERCLK (posedge USERCLK) (0.644::0.740))
-            (SETUP USERCLK (posedge USERCLK) (-0.194::-0.169))
+            (HOLD MIMRXRDATA26 (posedge USERCLK) (0.644::0.740))
+            (SETUP MIMRXRDATA26 (posedge USERCLK) (-0.194::-0.169))
             (HOLD USERCLK (posedge USERCLK) (0.643::0.739))
             (SETUP USERCLK (posedge USERCLK) (-0.193::-0.168))
             (HOLD USERCLK (posedge USERCLK) (0.637::0.733))
@@ -2202,14 +2202,14 @@
             (SETUP USERCLK (posedge USERCLK) (-0.189::-0.165))
             (HOLD USERCLK (posedge USERCLK) (0.655::0.754))
             (SETUP USERCLK (posedge USERCLK) (-0.174::-0.152))
-            (HOLD USERCLK (posedge USERCLK) (0.638::0.734))
-            (SETUP USERCLK (posedge USERCLK) (-0.192::-0.167))
+            (HOLD MIMRXRDATA30 (posedge USERCLK) (0.638::0.734))
+            (SETUP MIMRXRDATA30 (posedge USERCLK) (-0.192::-0.167))
             (HOLD USERCLK (posedge USERCLK) (0.639::0.735))
             (SETUP USERCLK (posedge USERCLK) (-0.192::-0.167))
             (HOLD USERCLK (posedge USERCLK) (0.632::0.727))
             (SETUP USERCLK (posedge USERCLK) (-0.161::-0.140))
-            (HOLD USERCLK (posedge USERCLK) (0.635::0.730))
-            (SETUP USERCLK (posedge USERCLK) (-0.130::-0.113))
+            (HOLD MIMRXRDATA33 (posedge USERCLK) (0.635::0.730))
+            (SETUP MIMRXRDATA33 (posedge USERCLK) (-0.130::-0.113))
             (HOLD USERCLK (posedge USERCLK) (0.637::0.733))
             (SETUP USERCLK (posedge USERCLK) (-0.133::-0.116))
             (HOLD USERCLK (posedge USERCLK) (0.636::0.731))
@@ -2228,8 +2228,8 @@
             (SETUP USERCLK (posedge USERCLK) (-0.131::-0.114))
             (HOLD USERCLK (posedge USERCLK) (0.635::0.730))
             (SETUP USERCLK (posedge USERCLK) (-0.128::-0.111))
-            (HOLD USERCLK (posedge USERCLK) (0.644::0.740))
-            (SETUP USERCLK (posedge USERCLK) (-0.168::-0.147))
+            (HOLD MIMRXRDATA42 (posedge USERCLK) (0.644::0.740))
+            (SETUP MIMRXRDATA42 (posedge USERCLK) (-0.168::-0.147))
             (HOLD USERCLK (posedge USERCLK) (0.636::0.732))
             (SETUP USERCLK (posedge USERCLK) (-0.163::-0.142))
             (HOLD USERCLK (posedge USERCLK) (0.638::0.734))
@@ -2238,8 +2238,8 @@
             (SETUP USERCLK (posedge USERCLK) (-0.187::-0.163))
             (HOLD USERCLK (posedge USERCLK) (0.636::0.731))
             (SETUP USERCLK (posedge USERCLK) (-0.179::-0.156))
-            (HOLD USERCLK (posedge USERCLK) (0.634::0.729))
-            (SETUP USERCLK (posedge USERCLK) (-0.162::-0.141))
+            (HOLD MIMRXRDATA47 (posedge USERCLK) (0.634::0.729))
+            (SETUP MIMRXRDATA47 (posedge USERCLK) (-0.162::-0.141))
             (HOLD USERCLK (posedge USERCLK) (0.645::0.743))
             (SETUP USERCLK (posedge USERCLK) (-0.197::-0.172))
             (HOLD USERCLK (posedge USERCLK) (0.646::0.744))
@@ -2250,16 +2250,16 @@
             (SETUP USERCLK (posedge USERCLK) (-0.184::-0.160))
             (HOLD USERCLK (posedge USERCLK) (0.638::0.734))
             (SETUP USERCLK (posedge USERCLK) (-0.179::-0.156))
-            (HOLD MIMRXRDATA52 (posedge USERCLK) (0.644::0.740))
-            (SETUP MIMRXRDATA52 (posedge USERCLK) (-0.196::-0.171))
-            (HOLD USERCLK (posedge USERCLK) (0.645::0.742))
-            (SETUP USERCLK (posedge USERCLK) (-0.193::-0.168))
+            (HOLD USERCLK (posedge USERCLK) (0.644::0.740))
+            (SETUP USERCLK (posedge USERCLK) (-0.196::-0.171))
+            (HOLD MIMRXRDATA53 (posedge USERCLK) (0.645::0.742))
+            (SETUP MIMRXRDATA53 (posedge USERCLK) (-0.193::-0.168))
             (HOLD USERCLK (posedge USERCLK) (0.640::0.736))
             (SETUP USERCLK (posedge USERCLK) (-0.185::-0.161))
             (HOLD USERCLK (posedge USERCLK) (0.645::0.743))
             (SETUP USERCLK (posedge USERCLK) (-0.194::-0.169))
-            (HOLD USERCLK (posedge USERCLK) (0.638::0.734))
-            (SETUP USERCLK (posedge USERCLK) (-0.135::-0.118))
+            (HOLD MIMRXRDATA56 (posedge USERCLK) (0.638::0.734))
+            (SETUP MIMRXRDATA56 (posedge USERCLK) (-0.135::-0.118))
             (HOLD USERCLK (posedge USERCLK) (0.638::0.734))
             (SETUP USERCLK (posedge USERCLK) (-0.135::-0.118))
             (HOLD USERCLK (posedge USERCLK) (0.645::0.742))
@@ -2272,38 +2272,38 @@
             (SETUP USERCLK (posedge USERCLK) (-0.164::-0.143))
             (HOLD USERCLK (posedge USERCLK) (0.660::0.759))
             (SETUP USERCLK (posedge USERCLK) (-0.162::-0.141))
-            (HOLD USERCLK (posedge USERCLK) (0.662::0.761))
-            (SETUP USERCLK (posedge USERCLK) (-0.165::-0.144))
+            (HOLD MIMRXRDATA62 (posedge USERCLK) (0.662::0.761))
+            (SETUP MIMRXRDATA62 (posedge USERCLK) (-0.165::-0.144))
             (HOLD USERCLK (posedge USERCLK) (0.662::0.761))
             (SETUP USERCLK (posedge USERCLK) (-0.165::-0.144))
             (HOLD USERCLK (posedge USERCLK) (0.675::0.777))
             (SETUP USERCLK (posedge USERCLK) (-0.165::-0.144))
-            (HOLD USERCLK (posedge USERCLK) (0.677::0.779))
-            (SETUP USERCLK (posedge USERCLK) (-0.167::-0.146))
+            (HOLD MIMRXRDATA65 (posedge USERCLK) (0.677::0.779))
+            (SETUP MIMRXRDATA65 (posedge USERCLK) (-0.167::-0.146))
             (HOLD USERCLK (posedge USERCLK) (0.663::0.762))
             (SETUP USERCLK (posedge USERCLK) (-0.164::-0.143))
-            (HOLD USERCLK (posedge USERCLK) (0.664::0.764))
-            (SETUP USERCLK (posedge USERCLK) (-0.166::-0.145))
-            (HOLD USERCLK (posedge USERCLK) (0.664::0.763))
-            (SETUP USERCLK (posedge USERCLK) (-0.179::-0.156))
+            (HOLD MIMRXRDATA67 (posedge USERCLK) (0.664::0.764))
+            (SETUP MIMRXRDATA67 (posedge USERCLK) (-0.166::-0.145))
+            (HOLD MIMRXRDATA6 (posedge USERCLK) (0.664::0.763))
+            (SETUP MIMRXRDATA6 (posedge USERCLK) (-0.179::-0.156))
             (HOLD USERCLK (posedge USERCLK) (0.661::0.760))
             (SETUP USERCLK (posedge USERCLK) (-0.193::-0.168))
             (HOLD USERCLK (posedge USERCLK) (0.676::0.778))
             (SETUP USERCLK (posedge USERCLK) (-0.168::-0.147))
             (HOLD USERCLK (posedge USERCLK) (0.674::0.775))
             (SETUP USERCLK (posedge USERCLK) (-0.165::-0.144))
-            (HOLD USERCLK (posedge USERCLK) (0.564::0.649))
-            (SETUP USERCLK (posedge USERCLK) (0.024::0.027))
+            (HOLD MIMTXRDATA0 (posedge USERCLK) (0.564::0.649))
+            (SETUP MIMTXRDATA0 (posedge USERCLK) (0.024::0.027))
             (HOLD USERCLK (posedge USERCLK) (0.696::0.801))
             (SETUP USERCLK (posedge USERCLK) (-0.209::-0.182))
-            (HOLD USERCLK (posedge USERCLK) (0.698::0.803))
-            (SETUP USERCLK (posedge USERCLK) (-0.214::-0.186))
+            (HOLD MIMTXRDATA11 (posedge USERCLK) (0.698::0.803))
+            (SETUP MIMTXRDATA11 (posedge USERCLK) (-0.214::-0.186))
             (HOLD USERCLK (posedge USERCLK) (0.698::0.803))
             (SETUP USERCLK (posedge USERCLK) (-0.215::-0.187))
-            (HOLD USERCLK (posedge USERCLK) (0.696::0.801))
-            (SETUP USERCLK (posedge USERCLK) (-0.214::-0.186))
-            (HOLD USERCLK (posedge USERCLK) (0.699::0.804))
-            (SETUP USERCLK (posedge USERCLK) (-0.209::-0.182))
+            (HOLD MIMTXRDATA13 (posedge USERCLK) (0.696::0.801))
+            (SETUP MIMTXRDATA13 (posedge USERCLK) (-0.214::-0.186))
+            (HOLD MIMTXRDATA14 (posedge USERCLK) (0.699::0.804))
+            (SETUP MIMTXRDATA14 (posedge USERCLK) (-0.209::-0.182))
             (HOLD USERCLK (posedge USERCLK) (0.696::0.801))
             (SETUP USERCLK (posedge USERCLK) (-0.214::-0.186))
             (HOLD USERCLK (posedge USERCLK) (0.700::0.805))
@@ -2320,18 +2320,18 @@
             (SETUP USERCLK (posedge USERCLK) (-0.212::-0.185))
             (HOLD USERCLK (posedge USERCLK) (0.694::0.799))
             (SETUP USERCLK (posedge USERCLK) (-0.216::-0.188))
-            (HOLD USERCLK (posedge USERCLK) (0.693::0.798))
-            (SETUP USERCLK (posedge USERCLK) (-0.212::-0.185))
+            (HOLD MIMTXRDATA22 (posedge USERCLK) (0.693::0.798))
+            (SETUP MIMTXRDATA22 (posedge USERCLK) (-0.212::-0.185))
             (HOLD USERCLK (posedge USERCLK) (0.691::0.795))
             (SETUP USERCLK (posedge USERCLK) (-0.197::-0.172))
             (HOLD USERCLK (posedge USERCLK) (0.689::0.792))
             (SETUP USERCLK (posedge USERCLK) (-0.200::-0.175))
-            (HOLD USERCLK (posedge USERCLK) (0.690::0.794))
-            (SETUP USERCLK (posedge USERCLK) (-0.207::-0.180))
-            (HOLD USERCLK (posedge USERCLK) (0.691::0.795))
-            (SETUP USERCLK (posedge USERCLK) (-0.205::-0.179))
-            (HOLD USERCLK (posedge USERCLK) (0.690::0.794))
-            (SETUP USERCLK (posedge USERCLK) (-0.207::-0.180))
+            (HOLD MIMTXRDATA25 (posedge USERCLK) (0.690::0.794))
+            (SETUP MIMTXRDATA25 (posedge USERCLK) (-0.207::-0.180))
+            (HOLD MIMTXRDATA26 (posedge USERCLK) (0.691::0.795))
+            (SETUP MIMTXRDATA26 (posedge USERCLK) (-0.205::-0.179))
+            (HOLD MIMTXRDATA27 (posedge USERCLK) (0.690::0.794))
+            (SETUP MIMTXRDATA27 (posedge USERCLK) (-0.207::-0.180))
             (HOLD USERCLK (posedge USERCLK) (0.732::0.842))
             (SETUP USERCLK (posedge USERCLK) (-0.232::-0.203))
             (HOLD USERCLK (posedge USERCLK) (0.737::0.848))
@@ -2346,12 +2346,12 @@
             (SETUP USERCLK (posedge USERCLK) (-0.237::-0.206))
             (HOLD USERCLK (posedge USERCLK) (0.736::0.847))
             (SETUP USERCLK (posedge USERCLK) (-0.236::-0.205))
-            (HOLD USERCLK (posedge USERCLK) (0.735::0.846))
-            (SETUP USERCLK (posedge USERCLK) (-0.236::-0.205))
-            (HOLD MIMTXRDATA35 (posedge USERCLK) (0.741::0.853))
-            (SETUP MIMTXRDATA35 (posedge USERCLK) (-0.229::-0.200))
-            (HOLD USERCLK (posedge USERCLK) (0.729::0.838))
-            (SETUP USERCLK (posedge USERCLK) (-0.206::-0.180))
+            (HOLD MIMTXRDATA34 (posedge USERCLK) (0.735::0.846))
+            (SETUP MIMTXRDATA34 (posedge USERCLK) (-0.236::-0.205))
+            (HOLD USERCLK (posedge USERCLK) (0.741::0.853))
+            (SETUP USERCLK (posedge USERCLK) (-0.229::-0.200))
+            (HOLD MIMTXRDATA36 (posedge USERCLK) (0.729::0.838))
+            (SETUP MIMTXRDATA36 (posedge USERCLK) (-0.206::-0.180))
             (HOLD USERCLK (posedge USERCLK) (0.729::0.838))
             (SETUP USERCLK (posedge USERCLK) (-0.204::-0.178))
             (HOLD USERCLK (posedge USERCLK) (0.739::0.850))
@@ -2362,14 +2362,14 @@
             (SETUP USERCLK (posedge USERCLK) (-0.198::-0.173))
             (HOLD USERCLK (posedge USERCLK) (0.734::0.844))
             (SETUP USERCLK (posedge USERCLK) (-0.238::-0.207))
-            (HOLD USERCLK (posedge USERCLK) (0.740::0.852))
-            (SETUP USERCLK (posedge USERCLK) (-0.242::-0.211))
+            (HOLD MIMTXRDATA41 (posedge USERCLK) (0.740::0.852))
+            (SETUP MIMTXRDATA41 (posedge USERCLK) (-0.242::-0.211))
             (HOLD USERCLK (posedge USERCLK) (0.736::0.847))
             (SETUP USERCLK (posedge USERCLK) (-0.229::-0.200))
             (HOLD USERCLK (posedge USERCLK) (0.739::0.851))
             (SETUP USERCLK (posedge USERCLK) (-0.223::-0.195))
-            (HOLD USERCLK (posedge USERCLK) (0.739::0.850))
-            (SETUP USERCLK (posedge USERCLK) (-0.219::-0.191))
+            (HOLD MIMTXRDATA44 (posedge USERCLK) (0.739::0.850))
+            (SETUP MIMTXRDATA44 (posedge USERCLK) (-0.219::-0.191))
             (HOLD USERCLK (posedge USERCLK) (0.742::0.854))
             (SETUP USERCLK (posedge USERCLK) (-0.234::-0.204))
             (HOLD USERCLK (posedge USERCLK) (0.737::0.848))
@@ -2378,12 +2378,12 @@
             (SETUP USERCLK (posedge USERCLK) (-0.240::-0.209))
             (HOLD USERCLK (posedge USERCLK) (0.688::0.791))
             (SETUP USERCLK (posedge USERCLK) (-0.197::-0.172))
-            (HOLD USERCLK (posedge USERCLK) (0.694::0.799))
-            (SETUP USERCLK (posedge USERCLK) (-0.203::-0.177))
+            (HOLD MIMTXRDATA49 (posedge USERCLK) (0.694::0.799))
+            (SETUP MIMTXRDATA49 (posedge USERCLK) (-0.203::-0.177))
             (HOLD USERCLK (posedge USERCLK) (0.708::0.814))
             (SETUP USERCLK (posedge USERCLK) (-0.284::-0.248))
-            (HOLD USERCLK (posedge USERCLK) (0.692::0.796))
-            (SETUP USERCLK (posedge USERCLK) (-0.193::-0.168))
+            (HOLD MIMTXRDATA50 (posedge USERCLK) (0.692::0.796))
+            (SETUP MIMTXRDATA50 (posedge USERCLK) (-0.193::-0.168))
             (HOLD USERCLK (posedge USERCLK) (0.694::0.799))
             (SETUP USERCLK (posedge USERCLK) (-0.201::-0.176))
             (HOLD USERCLK (posedge USERCLK) (0.701::0.806))
@@ -2400,12 +2400,12 @@
             (SETUP USERCLK (posedge USERCLK) (-0.219::-0.191))
             (HOLD USERCLK (posedge USERCLK) (0.707::0.813))
             (SETUP USERCLK (posedge USERCLK) (-0.215::-0.187))
-            (HOLD USERCLK (posedge USERCLK) (0.708::0.814))
-            (SETUP USERCLK (posedge USERCLK) (-0.227::-0.198))
+            (HOLD MIMTXRDATA59 (posedge USERCLK) (0.708::0.814))
+            (SETUP MIMTXRDATA59 (posedge USERCLK) (-0.227::-0.198))
             (HOLD USERCLK (posedge USERCLK) (0.711::0.817))
             (SETUP USERCLK (posedge USERCLK) (-0.229::-0.200))
-            (HOLD USERCLK (posedge USERCLK) (0.711::0.818))
-            (SETUP USERCLK (posedge USERCLK) (-0.231::-0.202))
+            (HOLD MIMTXRDATA60 (posedge USERCLK) (0.711::0.818))
+            (SETUP MIMTXRDATA60 (posedge USERCLK) (-0.231::-0.202))
             (HOLD USERCLK (posedge USERCLK) (0.713::0.821))
             (SETUP USERCLK (posedge USERCLK) (-0.234::-0.204))
             (HOLD USERCLK (posedge USERCLK) (0.707::0.813))
@@ -2422,20 +2422,20 @@
             (SETUP USERCLK (posedge USERCLK) (-0.138::-0.120))
             (HOLD USERCLK (posedge USERCLK) (0.734::0.844))
             (SETUP USERCLK (posedge USERCLK) (-0.212::-0.185))
-            (HOLD USERCLK (posedge USERCLK) (0.713::0.821))
-            (SETUP USERCLK (posedge USERCLK) (-0.232::-0.203))
+            (HOLD MIMTXRDATA6 (posedge USERCLK) (0.713::0.821))
+            (SETUP MIMTXRDATA6 (posedge USERCLK) (-0.232::-0.203))
             (HOLD USERCLK (posedge USERCLK) (0.711::0.818))
             (SETUP USERCLK (posedge USERCLK) (-0.231::-0.202))
             (HOLD USERCLK (posedge USERCLK) (0.700::0.805))
             (SETUP USERCLK (posedge USERCLK) (-0.212::-0.185))
             (HOLD USERCLK (posedge USERCLK) (0.700::0.805))
             (SETUP USERCLK (posedge USERCLK) (-0.207::-0.180))
-            (HOLD PIPERX0CHANISALIGNED (posedge PIPECLK) (0.673::0.774))
-            (SETUP PIPERX0CHANISALIGNED (posedge PIPECLK) (0.005::0.006))
+            (HOLD PIPECLK (posedge PIPECLK) (0.673::0.774))
+            (SETUP PIPECLK (posedge PIPECLK) (0.005::0.006))
             (HOLD PIPERX0CHARISK0 (posedge PIPECLK) (0.656::0.755))
             (SETUP PIPERX0CHARISK0 (posedge PIPECLK) (0.107::0.123))
-            (HOLD PIPERX0CHARISK1 (posedge PIPECLK) (0.662::0.761))
-            (SETUP PIPERX0CHARISK1 (posedge PIPECLK) (-0.088::-0.077))
+            (HOLD PIPECLK (posedge PIPECLK) (0.662::0.761))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.088::-0.077))
             (HOLD PIPECLK (posedge PIPECLK) (0.640::0.736))
             (SETUP PIPECLK (posedge PIPECLK) (0.042::0.049))
             (HOLD PIPERX0DATA10 (posedge PIPECLK) (0.664::0.764))
@@ -2444,30 +2444,30 @@
             (SETUP PIPERX0DATA11 (posedge PIPECLK) (-0.122::-0.107))
             (HOLD PIPERX0DATA12 (posedge PIPECLK) (0.662::0.761))
             (SETUP PIPERX0DATA12 (posedge PIPECLK) (-0.089::-0.078))
-            (HOLD PIPERX0DATA13 (posedge PIPECLK) (0.664::0.764))
-            (SETUP PIPERX0DATA13 (posedge PIPECLK) (-0.070::-0.061))
-            (HOLD PIPECLK (posedge PIPECLK) (0.670::0.771))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.097::-0.084))
-            (HOLD PIPERX0DATA15 (posedge PIPECLK) (0.664::0.764))
-            (SETUP PIPERX0DATA15 (posedge PIPECLK) (-0.138::-0.120))
-            (HOLD PIPERX0DATA1 (posedge PIPECLK) (0.648::0.746))
-            (SETUP PIPERX0DATA1 (posedge PIPECLK) (0.061::0.070))
-            (HOLD PIPERX0DATA2 (posedge PIPECLK) (0.620::0.713))
-            (SETUP PIPERX0DATA2 (posedge PIPECLK) (-0.036::-0.032))
+            (HOLD PIPECLK (posedge PIPECLK) (0.664::0.764))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.070::-0.061))
+            (HOLD PIPERX0DATA14 (posedge PIPECLK) (0.670::0.771))
+            (SETUP PIPERX0DATA14 (posedge PIPECLK) (-0.097::-0.084))
+            (HOLD PIPECLK (posedge PIPECLK) (0.664::0.764))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.138::-0.120))
+            (HOLD PIPECLK (posedge PIPECLK) (0.648::0.746))
+            (SETUP PIPECLK (posedge PIPECLK) (0.061::0.070))
+            (HOLD PIPECLK (posedge PIPECLK) (0.620::0.713))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.036::-0.032))
             (HOLD PIPERX0DATA3 (posedge PIPECLK) (0.640::0.736))
             (SETUP PIPERX0DATA3 (posedge PIPECLK) (0.084::0.097))
             (HOLD PIPECLK (posedge PIPECLK) (0.659::0.758))
             (SETUP PIPECLK (posedge PIPECLK) (0.096::0.110))
-            (HOLD PIPECLK (posedge PIPECLK) (0.651::0.749))
-            (SETUP PIPECLK (posedge PIPECLK) (0.048::0.055))
-            (HOLD PIPERX0DATA6 (posedge PIPECLK) (0.655::0.753))
-            (SETUP PIPERX0DATA6 (posedge PIPECLK) (0.046::0.053))
+            (HOLD PIPERX0DATA5 (posedge PIPECLK) (0.651::0.749))
+            (SETUP PIPERX0DATA5 (posedge PIPECLK) (0.048::0.055))
+            (HOLD PIPECLK (posedge PIPECLK) (0.655::0.753))
+            (SETUP PIPECLK (posedge PIPECLK) (0.046::0.053))
             (HOLD PIPERX0DATA7 (posedge PIPECLK) (0.653::0.751))
             (SETUP PIPERX0DATA7 (posedge PIPECLK) (-0.043::-0.037))
             (HOLD PIPERX0DATA8 (posedge PIPECLK) (0.670::0.771))
             (SETUP PIPERX0DATA8 (posedge PIPECLK) (0.033::0.037))
-            (HOLD PIPERX0DATA9 (posedge PIPECLK) (0.656::0.755))
-            (SETUP PIPERX0DATA9 (posedge PIPECLK) (-0.037::-0.033))
+            (HOLD PIPECLK (posedge PIPECLK) (0.656::0.755))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.037::-0.033))
             (HOLD PIPERX0ELECIDLE (posedge PIPECLK) (0.654::0.752))
             (SETUP PIPERX0ELECIDLE (posedge PIPECLK) (0.041::0.047))
             (HOLD PIPECLK (posedge PIPECLK) (0.647::0.745))
@@ -2478,52 +2478,52 @@
             (SETUP PIPECLK (posedge PIPECLK) (0.124::0.142))
             (HOLD PIPERX0STATUS2 (posedge PIPECLK) (0.631::0.726))
             (SETUP PIPERX0STATUS2 (posedge PIPECLK) (0.077::0.088))
-            (HOLD PIPECLK (posedge PIPECLK) (0.608::0.699))
-            (SETUP PIPECLK (posedge PIPECLK) (0.055::0.063))
-            (HOLD PIPERX1CHANISALIGNED (posedge PIPECLK) (0.721::0.829))
-            (SETUP PIPERX1CHANISALIGNED (posedge PIPECLK) (-0.182::-0.158))
-            (HOLD PIPERX1CHARISK0 (posedge PIPECLK) (0.709::0.815))
-            (SETUP PIPERX1CHARISK0 (posedge PIPECLK) (-0.083::-0.072))
+            (HOLD PIPERX0VALID (posedge PIPECLK) (0.608::0.699))
+            (SETUP PIPERX0VALID (posedge PIPECLK) (0.055::0.063))
+            (HOLD PIPECLK (posedge PIPECLK) (0.721::0.829))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.182::-0.158))
+            (HOLD PIPECLK (posedge PIPECLK) (0.709::0.815))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.083::-0.072))
             (HOLD PIPERX1CHARISK1 (posedge PIPECLK) (0.725::0.834))
             (SETUP PIPERX1CHARISK1 (posedge PIPECLK) (-0.188::-0.164))
-            (HOLD PIPERX1DATA0 (posedge PIPECLK) (0.713::0.821))
-            (SETUP PIPERX1DATA0 (posedge PIPECLK) (-0.165::-0.144))
-            (HOLD PIPERX1DATA10 (posedge PIPECLK) (0.717::0.825))
-            (SETUP PIPERX1DATA10 (posedge PIPECLK) (-0.176::-0.154))
+            (HOLD PIPECLK (posedge PIPECLK) (0.713::0.821))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.165::-0.144))
+            (HOLD PIPECLK (posedge PIPECLK) (0.717::0.825))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.176::-0.154))
             (HOLD PIPERX1DATA11 (posedge PIPECLK) (0.713::0.821))
             (SETUP PIPERX1DATA11 (posedge PIPECLK) (-0.227::-0.198))
             (HOLD PIPERX1DATA12 (posedge PIPECLK) (0.721::0.829))
             (SETUP PIPERX1DATA12 (posedge PIPECLK) (-0.185::-0.161))
             (HOLD PIPERX1DATA13 (posedge PIPECLK) (0.711::0.817))
             (SETUP PIPERX1DATA13 (posedge PIPECLK) (-0.160::-0.139))
-            (HOLD PIPERX1DATA14 (posedge PIPECLK) (0.721::0.829))
-            (SETUP PIPERX1DATA14 (posedge PIPECLK) (-0.179::-0.156))
+            (HOLD PIPECLK (posedge PIPECLK) (0.721::0.829))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.179::-0.156))
             (HOLD PIPERX1DATA15 (posedge PIPECLK) (0.723::0.832))
             (SETUP PIPERX1DATA15 (posedge PIPECLK) (-0.184::-0.160))
             (HOLD PIPECLK (posedge PIPECLK) (0.719::0.827))
             (SETUP PIPECLK (posedge PIPECLK) (-0.174::-0.152))
             (HOLD PIPERX1DATA2 (posedge PIPECLK) (0.714::0.822))
             (SETUP PIPERX1DATA2 (posedge PIPECLK) (-0.198::-0.173))
-            (HOLD PIPERX1DATA3 (posedge PIPECLK) (0.714::0.822))
-            (SETUP PIPERX1DATA3 (posedge PIPECLK) (-0.167::-0.146))
-            (HOLD PIPECLK (posedge PIPECLK) (0.713::0.821))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.169::-0.148))
-            (HOLD PIPERX1DATA5 (posedge PIPECLK) (0.710::0.816))
-            (SETUP PIPERX1DATA5 (posedge PIPECLK) (-0.161::-0.140))
+            (HOLD PIPECLK (posedge PIPECLK) (0.714::0.822))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.167::-0.146))
+            (HOLD PIPERX1DATA4 (posedge PIPECLK) (0.713::0.821))
+            (SETUP PIPERX1DATA4 (posedge PIPECLK) (-0.169::-0.148))
+            (HOLD PIPECLK (posedge PIPECLK) (0.710::0.816))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.161::-0.140))
             (HOLD PIPECLK (posedge PIPECLK) (0.716::0.824))
             (SETUP PIPECLK (posedge PIPECLK) (-0.171::-0.149))
             (HOLD PIPERX1DATA7 (posedge PIPECLK) (0.718::0.826))
             (SETUP PIPERX1DATA7 (posedge PIPECLK) (-0.173::-0.151))
-            (HOLD PIPERX1DATA8 (posedge PIPECLK) (0.724::0.833))
-            (SETUP PIPERX1DATA8 (posedge PIPECLK) (-0.190::-0.166))
+            (HOLD PIPECLK (posedge PIPECLK) (0.724::0.833))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.190::-0.166))
             (HOLD PIPERX1DATA9 (posedge PIPECLK) (0.715::0.823))
             (SETUP PIPERX1DATA9 (posedge PIPECLK) (-0.171::-0.149))
             (HOLD PIPERX1ELECIDLE (posedge PIPECLK) (0.712::0.820))
             (SETUP PIPERX1ELECIDLE (posedge PIPECLK) (-0.173::-0.151))
             (HOLD PIPERX1PHYSTATUS (posedge PIPECLK) (0.707::0.813))
             (SETUP PIPERX1PHYSTATUS (posedge PIPECLK) (-0.050::-0.043))
-            (HOLD PIPERX1STATUS0 (posedge PIPECLK) (0.712::0.820))
-            (SETUP PIPERX1STATUS0 (posedge PIPECLK) (-0.153::-0.133))
+            (HOLD PIPECLK (posedge PIPECLK) (0.712::0.820))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.153::-0.133))
             (HOLD PIPERX1STATUS1 (posedge PIPECLK) (0.711::0.818))
             (SETUP PIPERX1STATUS1 (posedge PIPECLK) (-0.164::-0.143))
             (HOLD PIPERX1STATUS2 (posedge PIPECLK) (0.717::0.825))
@@ -2532,206 +2532,206 @@
             (SETUP PIPECLK (posedge PIPECLK) (-0.207::-0.180))
             (HOLD PIPERX2CHANISALIGNED (posedge PIPECLK) (0.682::0.784))
             (SETUP PIPERX2CHANISALIGNED (posedge PIPECLK) (-0.098::-0.085))
-            (HOLD PIPECLK (posedge PIPECLK) (0.660::0.759))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.133::-0.116))
+            (HOLD PIPERX2CHARISK0 (posedge PIPECLK) (0.660::0.759))
+            (SETUP PIPERX2CHARISK0 (posedge PIPECLK) (-0.133::-0.116))
             (HOLD PIPERX2CHARISK1 (posedge PIPECLK) (0.686::0.789))
             (SETUP PIPERX2CHARISK1 (posedge PIPECLK) (-0.208::-0.181))
-            (HOLD PIPECLK (posedge PIPECLK) (0.638::0.734))
+            (HOLD PIPERX2DATA0 (posedge PIPECLK) (0.638::0.734))
+            (SETUP PIPERX2DATA0 (posedge PIPECLK) (-0.106::-0.092))
+            (HOLD PIPECLK (posedge PIPECLK) (0.691::0.795))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.156::-0.136))
+            (HOLD PIPERX2DATA11 (posedge PIPECLK) (0.692::0.796))
+            (SETUP PIPERX2DATA11 (posedge PIPECLK) (-0.155::-0.135))
+            (HOLD PIPERX2DATA12 (posedge PIPECLK) (0.687::0.790))
+            (SETUP PIPERX2DATA12 (posedge PIPECLK) (-0.210::-0.183))
+            (HOLD PIPECLK (posedge PIPECLK) (0.690::0.794))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.149::-0.130))
+            (HOLD PIPECLK (posedge PIPECLK) (0.680::0.782))
             (SETUP PIPECLK (posedge PIPECLK) (-0.106::-0.092))
-            (HOLD PIPERX2DATA10 (posedge PIPECLK) (0.691::0.795))
-            (SETUP PIPERX2DATA10 (posedge PIPECLK) (-0.156::-0.136))
-            (HOLD PIPECLK (posedge PIPECLK) (0.692::0.796))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.155::-0.135))
-            (HOLD PIPECLK (posedge PIPECLK) (0.687::0.790))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.210::-0.183))
-            (HOLD PIPERX2DATA13 (posedge PIPECLK) (0.690::0.794))
-            (SETUP PIPERX2DATA13 (posedge PIPECLK) (-0.149::-0.130))
-            (HOLD PIPERX2DATA14 (posedge PIPECLK) (0.680::0.782))
-            (SETUP PIPERX2DATA14 (posedge PIPECLK) (-0.106::-0.092))
             (HOLD PIPERX2DATA15 (posedge PIPECLK) (0.680::0.782))
             (SETUP PIPERX2DATA15 (posedge PIPECLK) (-0.111::-0.097))
-            (HOLD PIPERX2DATA1 (posedge PIPECLK) (0.642::0.738))
-            (SETUP PIPERX2DATA1 (posedge PIPECLK) (-0.066::-0.058))
+            (HOLD PIPECLK (posedge PIPECLK) (0.642::0.738))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.066::-0.058))
             (HOLD PIPERX2DATA2 (posedge PIPECLK) (0.640::0.736))
             (SETUP PIPERX2DATA2 (posedge PIPECLK) (-0.123::-0.108))
-            (HOLD PIPERX2DATA3 (posedge PIPECLK) (0.640::0.736))
-            (SETUP PIPERX2DATA3 (posedge PIPECLK) (-0.131::-0.114))
+            (HOLD PIPECLK (posedge PIPECLK) (0.640::0.736))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.131::-0.114))
             (HOLD PIPERX2DATA4 (posedge PIPECLK) (0.641::0.737))
             (SETUP PIPERX2DATA4 (posedge PIPECLK) (-0.129::-0.112))
             (HOLD PIPERX2DATA5 (posedge PIPECLK) (0.643::0.739))
             (SETUP PIPERX2DATA5 (posedge PIPECLK) (-0.135::-0.118))
             (HOLD PIPERX2DATA6 (posedge PIPECLK) (0.642::0.738))
             (SETUP PIPERX2DATA6 (posedge PIPECLK) (-0.108::-0.094))
-            (HOLD PIPECLK (posedge PIPECLK) (0.646::0.744))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.067::-0.059))
-            (HOLD PIPERX2DATA8 (posedge PIPECLK) (0.651::0.749))
-            (SETUP PIPERX2DATA8 (posedge PIPECLK) (-0.100::-0.087))
-            (HOLD PIPECLK (posedge PIPECLK) (0.653::0.751))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.154::-0.134))
+            (HOLD PIPERX2DATA7 (posedge PIPECLK) (0.646::0.744))
+            (SETUP PIPERX2DATA7 (posedge PIPECLK) (-0.067::-0.059))
+            (HOLD PIPECLK (posedge PIPECLK) (0.651::0.749))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.100::-0.087))
+            (HOLD PIPERX2DATA9 (posedge PIPECLK) (0.653::0.751))
+            (SETUP PIPERX2DATA9 (posedge PIPECLK) (-0.154::-0.134))
             (HOLD PIPERX2ELECIDLE (posedge PIPECLK) (0.693::0.798))
             (SETUP PIPERX2ELECIDLE (posedge PIPECLK) (-0.150::-0.131))
-            (HOLD PIPERX2PHYSTATUS (posedge PIPECLK) (0.676::0.778))
-            (SETUP PIPERX2PHYSTATUS (posedge PIPECLK) (-0.064::-0.056))
-            (HOLD PIPERX2STATUS0 (posedge PIPECLK) (0.644::0.740))
-            (SETUP PIPERX2STATUS0 (posedge PIPECLK) (-0.136::-0.119))
-            (HOLD PIPERX2STATUS1 (posedge PIPECLK) (0.648::0.746))
-            (SETUP PIPERX2STATUS1 (posedge PIPECLK) (-0.092::-0.081))
+            (HOLD PIPECLK (posedge PIPECLK) (0.676::0.778))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.064::-0.056))
+            (HOLD PIPECLK (posedge PIPECLK) (0.644::0.740))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.136::-0.119))
+            (HOLD PIPECLK (posedge PIPECLK) (0.648::0.746))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.092::-0.081))
             (HOLD PIPERX2STATUS2 (posedge PIPECLK) (0.657::0.756))
             (SETUP PIPERX2STATUS2 (posedge PIPECLK) (-0.108::-0.094))
             (HOLD PIPERX2VALID (posedge PIPECLK) (0.673::0.774))
             (SETUP PIPERX2VALID (posedge PIPECLK) (-0.123::-0.108))
             (HOLD PIPERX3CHANISALIGNED (posedge PIPECLK) (0.673::0.774))
             (SETUP PIPERX3CHANISALIGNED (posedge PIPECLK) (-0.076::-0.066))
-            (HOLD PIPECLK (posedge PIPECLK) (0.684::0.787))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.142::-0.124))
+            (HOLD PIPERX3CHARISK0 (posedge PIPECLK) (0.684::0.787))
+            (SETUP PIPERX3CHARISK0 (posedge PIPECLK) (-0.142::-0.124))
             (HOLD PIPERX3CHARISK1 (posedge PIPECLK) (0.672::0.773))
             (SETUP PIPERX3CHARISK1 (posedge PIPECLK) (0.031::0.035))
-            (HOLD PIPECLK (posedge PIPECLK) (0.720::0.828))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.184::-0.160))
-            (HOLD PIPECLK (posedge PIPECLK) (0.674::0.776))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.042::-0.036))
-            (HOLD PIPERX3DATA11 (posedge PIPECLK) (0.666::0.766))
-            (SETUP PIPERX3DATA11 (posedge PIPECLK) (-0.024::-0.021))
+            (HOLD PIPERX3DATA0 (posedge PIPECLK) (0.720::0.828))
+            (SETUP PIPERX3DATA0 (posedge PIPECLK) (-0.184::-0.160))
+            (HOLD PIPERX3DATA10 (posedge PIPECLK) (0.674::0.776))
+            (SETUP PIPERX3DATA10 (posedge PIPECLK) (-0.042::-0.036))
+            (HOLD PIPECLK (posedge PIPECLK) (0.666::0.766))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.024::-0.021))
             (HOLD PIPERX3DATA12 (posedge PIPECLK) (0.658::0.757))
             (SETUP PIPERX3DATA12 (posedge PIPECLK) (-0.020::-0.017))
             (HOLD PIPERX3DATA13 (posedge PIPECLK) (0.661::0.760))
             (SETUP PIPERX3DATA13 (posedge PIPECLK) (-0.021::-0.018))
             (HOLD PIPERX3DATA14 (posedge PIPECLK) (0.668::0.769))
             (SETUP PIPERX3DATA14 (posedge PIPECLK) (0.044::0.051))
-            (HOLD PIPERX3DATA15 (posedge PIPECLK) (0.660::0.759))
-            (SETUP PIPERX3DATA15 (posedge PIPECLK) (0.044::0.051))
-            (HOLD PIPERX3DATA1 (posedge PIPECLK) (0.724::0.833))
-            (SETUP PIPERX3DATA1 (posedge PIPECLK) (-0.183::-0.159))
-            (HOLD PIPERX3DATA2 (posedge PIPECLK) (0.683::0.785))
-            (SETUP PIPERX3DATA2 (posedge PIPECLK) (-0.145::-0.127))
-            (HOLD PIPERX3DATA3 (posedge PIPECLK) (0.685::0.788))
-            (SETUP PIPERX3DATA3 (posedge PIPECLK) (-0.147::-0.129))
+            (HOLD PIPECLK (posedge PIPECLK) (0.660::0.759))
+            (SETUP PIPECLK (posedge PIPECLK) (0.044::0.051))
+            (HOLD PIPECLK (posedge PIPECLK) (0.724::0.833))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.183::-0.159))
+            (HOLD PIPECLK (posedge PIPECLK) (0.683::0.785))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.145::-0.127))
+            (HOLD PIPECLK (posedge PIPECLK) (0.685::0.788))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.147::-0.129))
             (HOLD PIPERX3DATA4 (posedge PIPECLK) (0.683::0.786))
             (SETUP PIPERX3DATA4 (posedge PIPECLK) (-0.139::-0.121))
-            (HOLD PIPECLK (posedge PIPECLK) (0.652::0.750))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.102::-0.089))
+            (HOLD PIPERX3DATA5 (posedge PIPECLK) (0.652::0.750))
+            (SETUP PIPERX3DATA5 (posedge PIPECLK) (-0.102::-0.089))
             (HOLD PIPERX3DATA6 (posedge PIPECLK) (0.643::0.739))
             (SETUP PIPERX3DATA6 (posedge PIPECLK) (-0.085::-0.074))
             (HOLD PIPERX3DATA7 (posedge PIPECLK) (0.675::0.777))
             (SETUP PIPERX3DATA7 (posedge PIPECLK) (-0.117::-0.102))
-            (HOLD PIPERX3DATA8 (posedge PIPECLK) (0.674::0.776))
-            (SETUP PIPERX3DATA8 (posedge PIPECLK) (-0.102::-0.089))
-            (HOLD PIPECLK (posedge PIPECLK) (0.671::0.772))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.065::-0.057))
+            (HOLD PIPECLK (posedge PIPECLK) (0.674::0.776))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.102::-0.089))
+            (HOLD PIPERX3DATA9 (posedge PIPECLK) (0.671::0.772))
+            (SETUP PIPERX3DATA9 (posedge PIPECLK) (-0.065::-0.057))
             (HOLD PIPERX3ELECIDLE (posedge PIPECLK) (0.636::0.732))
             (SETUP PIPERX3ELECIDLE (posedge PIPECLK) (0.010::0.011))
-            (HOLD PIPECLK (posedge PIPECLK) (0.649::0.747))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.100::-0.087))
+            (HOLD PIPERX3PHYSTATUS (posedge PIPECLK) (0.649::0.747))
+            (SETUP PIPERX3PHYSTATUS (posedge PIPECLK) (-0.100::-0.087))
             (HOLD PIPECLK (posedge PIPECLK) (0.644::0.740))
             (SETUP PIPECLK (posedge PIPECLK) (-0.054::-0.047))
             (HOLD PIPERX3STATUS1 (posedge PIPECLK) (0.637::0.733))
             (SETUP PIPERX3STATUS1 (posedge PIPECLK) (-0.042::-0.036))
-            (HOLD PIPECLK (posedge PIPECLK) (0.629::0.724))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.007::-0.006))
-            (HOLD PIPECLK (posedge PIPECLK) (0.645::0.742))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.076::-0.066))
-            (HOLD PIPERX4CHANISALIGNED (posedge PIPECLK) (0.653::0.751))
-            (SETUP PIPERX4CHANISALIGNED (posedge PIPECLK) (-0.163::-0.142))
+            (HOLD PIPERX3STATUS2 (posedge PIPECLK) (0.629::0.724))
+            (SETUP PIPERX3STATUS2 (posedge PIPECLK) (-0.007::-0.006))
+            (HOLD PIPERX3VALID (posedge PIPECLK) (0.645::0.742))
+            (SETUP PIPERX3VALID (posedge PIPECLK) (-0.076::-0.066))
+            (HOLD PIPECLK (posedge PIPECLK) (0.653::0.751))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.163::-0.142))
             (HOLD PIPERX4CHARISK0 (posedge PIPECLK) (0.650::0.748))
             (SETUP PIPERX4CHARISK0 (posedge PIPECLK) (-0.013::-0.012))
             (HOLD PIPERX4CHARISK1 (posedge PIPECLK) (0.672::0.773))
             (SETUP PIPERX4CHARISK1 (posedge PIPECLK) (-0.124::-0.108))
             (HOLD PIPERX4DATA0 (posedge PIPECLK) (0.631::0.726))
             (SETUP PIPERX4DATA0 (posedge PIPECLK) (-0.079::-0.069))
-            (HOLD PIPERX4DATA10 (posedge PIPECLK) (0.666::0.766))
-            (SETUP PIPERX4DATA10 (posedge PIPECLK) (-0.113::-0.099))
+            (HOLD PIPECLK (posedge PIPECLK) (0.666::0.766))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.113::-0.099))
             (HOLD PIPERX4DATA11 (posedge PIPECLK) (0.666::0.766))
             (SETUP PIPERX4DATA11 (posedge PIPECLK) (-0.113::-0.099))
-            (HOLD PIPERX4DATA12 (posedge PIPECLK) (0.663::0.762))
-            (SETUP PIPERX4DATA12 (posedge PIPECLK) (-0.102::-0.089))
+            (HOLD PIPECLK (posedge PIPECLK) (0.663::0.762))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.102::-0.089))
             (HOLD PIPERX4DATA13 (posedge PIPECLK) (0.664::0.763))
             (SETUP PIPERX4DATA13 (posedge PIPECLK) (-0.174::-0.152))
             (HOLD PIPERX4DATA14 (posedge PIPECLK) (0.669::0.770))
             (SETUP PIPERX4DATA14 (posedge PIPECLK) (-0.121::-0.106))
-            (HOLD PIPERX4DATA15 (posedge PIPECLK) (0.667::0.768))
-            (SETUP PIPERX4DATA15 (posedge PIPECLK) (-0.195::-0.170))
+            (HOLD PIPECLK (posedge PIPECLK) (0.667::0.768))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.195::-0.170))
             (HOLD PIPERX4DATA1 (posedge PIPECLK) (0.643::0.739))
             (SETUP PIPERX4DATA1 (posedge PIPECLK) (-0.055::-0.048))
             (HOLD PIPERX4DATA2 (posedge PIPECLK) (0.634::0.729))
             (SETUP PIPERX4DATA2 (posedge PIPECLK) (-0.037::-0.033))
             (HOLD PIPERX4DATA3 (posedge PIPECLK) (0.636::0.731))
             (SETUP PIPERX4DATA3 (posedge PIPECLK) (-0.050::-0.043))
-            (HOLD PIPERX4DATA4 (posedge PIPECLK) (0.643::0.739))
-            (SETUP PIPERX4DATA4 (posedge PIPECLK) (-0.095::-0.083))
-            (HOLD PIPECLK (posedge PIPECLK) (0.644::0.740))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.053::-0.046))
             (HOLD PIPECLK (posedge PIPECLK) (0.643::0.739))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.066::-0.058))
-            (HOLD PIPERX4DATA7 (posedge PIPECLK) (0.638::0.734))
-            (SETUP PIPERX4DATA7 (posedge PIPECLK) (-0.075::-0.065))
-            (HOLD PIPECLK (posedge PIPECLK) (0.666::0.766))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.100::-0.087))
-            (HOLD PIPERX4DATA9 (posedge PIPECLK) (0.661::0.760))
-            (SETUP PIPERX4DATA9 (posedge PIPECLK) (-0.183::-0.159))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.095::-0.083))
+            (HOLD PIPERX4DATA5 (posedge PIPECLK) (0.644::0.740))
+            (SETUP PIPERX4DATA5 (posedge PIPECLK) (-0.053::-0.046))
+            (HOLD PIPERX4DATA6 (posedge PIPECLK) (0.643::0.739))
+            (SETUP PIPERX4DATA6 (posedge PIPECLK) (-0.066::-0.058))
+            (HOLD PIPECLK (posedge PIPECLK) (0.638::0.734))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.075::-0.065))
+            (HOLD PIPERX4DATA8 (posedge PIPECLK) (0.666::0.766))
+            (SETUP PIPERX4DATA8 (posedge PIPECLK) (-0.100::-0.087))
+            (HOLD PIPECLK (posedge PIPECLK) (0.661::0.760))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.183::-0.159))
             (HOLD PIPERX4ELECIDLE (posedge PIPECLK) (0.663::0.762))
             (SETUP PIPERX4ELECIDLE (posedge PIPECLK) (-0.183::-0.159))
-            (HOLD PIPECLK (posedge PIPECLK) (0.634::0.729))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.061::-0.053))
+            (HOLD PIPERX4PHYSTATUS (posedge PIPECLK) (0.634::0.729))
+            (SETUP PIPERX4PHYSTATUS (posedge PIPECLK) (-0.061::-0.053))
             (HOLD PIPERX4STATUS0 (posedge PIPECLK) (0.656::0.755))
             (SETUP PIPERX4STATUS0 (posedge PIPECLK) (-0.143::-0.125))
             (HOLD PIPERX4STATUS1 (posedge PIPECLK) (0.658::0.757))
             (SETUP PIPERX4STATUS1 (posedge PIPECLK) (-0.145::-0.127))
             (HOLD PIPECLK (posedge PIPECLK) (0.664::0.764))
             (SETUP PIPECLK (posedge PIPECLK) (-0.168::-0.147))
-            (HOLD PIPERX4VALID (posedge PIPECLK) (0.655::0.753))
-            (SETUP PIPERX4VALID (posedge PIPECLK) (-0.105::-0.091))
-            (HOLD PIPERX5CHANISALIGNED (posedge PIPECLK) (0.652::0.750))
-            (SETUP PIPERX5CHANISALIGNED (posedge PIPECLK) (0.043::0.050))
+            (HOLD PIPECLK (posedge PIPECLK) (0.655::0.753))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.105::-0.091))
+            (HOLD PIPECLK (posedge PIPECLK) (0.652::0.750))
+            (SETUP PIPECLK (posedge PIPECLK) (0.043::0.050))
             (HOLD PIPERX5CHARISK0 (posedge PIPECLK) (0.646::0.744))
             (SETUP PIPERX5CHARISK0 (posedge PIPECLK) (0.138::0.159))
             (HOLD PIPERX5CHARISK1 (posedge PIPECLK) (0.664::0.763))
             (SETUP PIPERX5CHARISK1 (posedge PIPECLK) (-0.048::-0.042))
             (HOLD PIPERX5DATA0 (posedge PIPECLK) (0.638::0.734))
             (SETUP PIPERX5DATA0 (posedge PIPECLK) (0.063::0.073))
-            (HOLD PIPERX5DATA10 (posedge PIPECLK) (0.630::0.725))
-            (SETUP PIPERX5DATA10 (posedge PIPECLK) (0.037::0.043))
+            (HOLD PIPECLK (posedge PIPECLK) (0.630::0.725))
+            (SETUP PIPECLK (posedge PIPECLK) (0.037::0.043))
             (HOLD PIPERX5DATA11 (posedge PIPECLK) (0.657::0.756))
             (SETUP PIPERX5DATA11 (posedge PIPECLK) (-0.029::-0.025))
             (HOLD PIPECLK (posedge PIPECLK) (0.651::0.749))
             (SETUP PIPECLK (posedge PIPECLK) (0.043::0.050))
-            (HOLD PIPERX5DATA13 (posedge PIPECLK) (0.654::0.752))
-            (SETUP PIPERX5DATA13 (posedge PIPECLK) (0.042::0.049))
+            (HOLD PIPECLK (posedge PIPECLK) (0.654::0.752))
+            (SETUP PIPECLK (posedge PIPECLK) (0.042::0.049))
             (HOLD PIPECLK (posedge PIPECLK) (0.680::0.782))
             (SETUP PIPECLK (posedge PIPECLK) (0.024::0.027))
-            (HOLD PIPERX5DATA15 (posedge PIPECLK) (0.675::0.777))
-            (SETUP PIPERX5DATA15 (posedge PIPECLK) (0.034::0.040))
-            (HOLD PIPERX5DATA1 (posedge PIPECLK) (0.632::0.727))
-            (SETUP PIPERX5DATA1 (posedge PIPECLK) (0.072::0.083))
-            (HOLD PIPERX5DATA2 (posedge PIPECLK) (0.655::0.753))
-            (SETUP PIPERX5DATA2 (posedge PIPECLK) (0.016::0.019))
-            (HOLD PIPERX5DATA3 (posedge PIPECLK) (0.641::0.737))
-            (SETUP PIPERX5DATA3 (posedge PIPECLK) (0.113::0.130))
-            (HOLD PIPERX5DATA4 (posedge PIPECLK) (0.641::0.737))
-            (SETUP PIPERX5DATA4 (posedge PIPECLK) (0.075::0.086))
+            (HOLD PIPECLK (posedge PIPECLK) (0.675::0.777))
+            (SETUP PIPECLK (posedge PIPECLK) (0.034::0.040))
+            (HOLD PIPECLK (posedge PIPECLK) (0.632::0.727))
+            (SETUP PIPECLK (posedge PIPECLK) (0.072::0.083))
+            (HOLD PIPECLK (posedge PIPECLK) (0.655::0.753))
+            (SETUP PIPECLK (posedge PIPECLK) (0.016::0.019))
+            (HOLD PIPECLK (posedge PIPECLK) (0.641::0.737))
+            (SETUP PIPECLK (posedge PIPECLK) (0.113::0.130))
+            (HOLD PIPECLK (posedge PIPECLK) (0.641::0.737))
+            (SETUP PIPECLK (posedge PIPECLK) (0.075::0.086))
             (HOLD PIPERX5DATA5 (posedge PIPECLK) (0.651::0.749))
             (SETUP PIPERX5DATA5 (posedge PIPECLK) (0.103::0.119))
-            (HOLD PIPERX5DATA6 (posedge PIPECLK) (0.645::0.742))
-            (SETUP PIPERX5DATA6 (posedge PIPECLK) (0.048::0.055))
+            (HOLD PIPECLK (posedge PIPECLK) (0.645::0.742))
+            (SETUP PIPECLK (posedge PIPECLK) (0.048::0.055))
             (HOLD PIPECLK (posedge PIPECLK) (0.648::0.746))
             (SETUP PIPECLK (posedge PIPECLK) (0.047::0.054))
-            (HOLD PIPERX5DATA8 (posedge PIPECLK) (0.650::0.748))
-            (SETUP PIPERX5DATA8 (posedge PIPECLK) (-0.009::-0.008))
-            (HOLD PIPERX5DATA9 (posedge PIPECLK) (0.665::0.765))
-            (SETUP PIPERX5DATA9 (posedge PIPECLK) (0.055::0.063))
-            (HOLD PIPECLK (posedge PIPECLK) (0.667::0.768))
-            (SETUP PIPECLK (posedge PIPECLK) (0.040::0.046))
+            (HOLD PIPECLK (posedge PIPECLK) (0.650::0.748))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.009::-0.008))
+            (HOLD PIPECLK (posedge PIPECLK) (0.665::0.765))
+            (SETUP PIPECLK (posedge PIPECLK) (0.055::0.063))
+            (HOLD PIPERX5ELECIDLE (posedge PIPECLK) (0.667::0.768))
+            (SETUP PIPERX5ELECIDLE (posedge PIPECLK) (0.040::0.046))
             (HOLD PIPECLK (posedge PIPECLK) (0.667::0.768))
             (SETUP PIPECLK (posedge PIPECLK) (0.064::0.074))
             (HOLD PIPERX5STATUS0 (posedge PIPECLK) (0.671::0.772))
             (SETUP PIPERX5STATUS0 (posedge PIPECLK) (0.033::0.037))
             (HOLD PIPERX5STATUS1 (posedge PIPECLK) (0.678::0.780))
             (SETUP PIPERX5STATUS1 (posedge PIPECLK) (0.032::0.036))
-            (HOLD PIPERX5STATUS2 (posedge PIPECLK) (0.678::0.780))
-            (SETUP PIPERX5STATUS2 (posedge PIPECLK) (0.006::0.007))
-            (HOLD PIPECLK (posedge PIPECLK) (0.652::0.750))
-            (SETUP PIPECLK (posedge PIPECLK) (0.021::0.024))
-            (HOLD PIPERX6CHANISALIGNED (posedge PIPECLK) (0.662::0.761))
-            (SETUP PIPERX6CHANISALIGNED (posedge PIPECLK) (-0.063::-0.055))
+            (HOLD PIPECLK (posedge PIPECLK) (0.678::0.780))
+            (SETUP PIPECLK (posedge PIPECLK) (0.006::0.007))
+            (HOLD PIPERX5VALID (posedge PIPECLK) (0.652::0.750))
+            (SETUP PIPERX5VALID (posedge PIPECLK) (0.021::0.024))
+            (HOLD PIPECLK (posedge PIPECLK) (0.662::0.761))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.063::-0.055))
             (HOLD PIPERX6CHARISK0 (posedge PIPECLK) (0.650::0.748))
             (SETUP PIPERX6CHARISK0 (posedge PIPECLK) (-0.056::-0.049))
             (HOLD PIPERX6CHARISK1 (posedge PIPECLK) (0.663::0.762))
@@ -2740,8 +2740,8 @@
             (SETUP PIPERX6DATA0 (posedge PIPECLK) (0.085::0.098))
             (HOLD PIPERX6DATA10 (posedge PIPECLK) (0.655::0.754))
             (SETUP PIPERX6DATA10 (posedge PIPECLK) (-0.091::-0.080))
-            (HOLD PIPERX6DATA11 (posedge PIPECLK) (0.655::0.753))
-            (SETUP PIPERX6DATA11 (posedge PIPECLK) (-0.098::-0.085))
+            (HOLD PIPECLK (posedge PIPECLK) (0.655::0.753))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.098::-0.085))
             (HOLD PIPERX6DATA12 (posedge PIPECLK) (0.660::0.759))
             (SETUP PIPERX6DATA12 (posedge PIPECLK) (-0.117::-0.102))
             (HOLD PIPECLK (posedge PIPECLK) (0.661::0.760))
@@ -2750,46 +2750,46 @@
             (SETUP PIPERX6DATA14 (posedge PIPECLK) (-0.154::-0.134))
             (HOLD PIPERX6DATA15 (posedge PIPECLK) (0.660::0.759))
             (SETUP PIPERX6DATA15 (posedge PIPECLK) (-0.042::-0.036))
-            (HOLD PIPERX6DATA1 (posedge PIPECLK) (0.628::0.723))
-            (SETUP PIPERX6DATA1 (posedge PIPECLK) (0.046::0.053))
-            (HOLD PIPECLK (posedge PIPECLK) (0.651::0.749))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.014::-0.012))
+            (HOLD PIPECLK (posedge PIPECLK) (0.628::0.723))
+            (SETUP PIPECLK (posedge PIPECLK) (0.046::0.053))
+            (HOLD PIPERX6DATA2 (posedge PIPECLK) (0.651::0.749))
+            (SETUP PIPERX6DATA2 (posedge PIPECLK) (-0.014::-0.012))
             (HOLD PIPERX6DATA3 (posedge PIPECLK) (0.642::0.738))
             (SETUP PIPERX6DATA3 (posedge PIPECLK) (-0.067::-0.059))
             (HOLD PIPECLK (posedge PIPECLK) (0.649::0.747))
             (SETUP PIPECLK (posedge PIPECLK) (-0.052::-0.045))
-            (HOLD PIPERX6DATA5 (posedge PIPECLK) (0.646::0.744))
-            (SETUP PIPERX6DATA5 (posedge PIPECLK) (-0.052::-0.045))
-            (HOLD PIPERX6DATA6 (posedge PIPECLK) (0.653::0.751))
-            (SETUP PIPERX6DATA6 (posedge PIPECLK) (-0.037::-0.033))
+            (HOLD PIPECLK (posedge PIPECLK) (0.646::0.744))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.052::-0.045))
+            (HOLD PIPECLK (posedge PIPECLK) (0.653::0.751))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.037::-0.033))
             (HOLD PIPERX6DATA7 (posedge PIPECLK) (0.655::0.753))
             (SETUP PIPERX6DATA7 (posedge PIPECLK) (-0.068::-0.060))
             (HOLD PIPERX6DATA8 (posedge PIPECLK) (0.660::0.759))
             (SETUP PIPERX6DATA8 (posedge PIPECLK) (-0.155::-0.135))
-            (HOLD PIPECLK (posedge PIPECLK) (0.660::0.759))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.077::-0.067))
+            (HOLD PIPERX6DATA9 (posedge PIPECLK) (0.660::0.759))
+            (SETUP PIPERX6DATA9 (posedge PIPECLK) (-0.077::-0.067))
             (HOLD PIPERX6ELECIDLE (posedge PIPECLK) (0.655::0.753))
             (SETUP PIPERX6ELECIDLE (posedge PIPECLK) (-0.116::-0.101))
-            (HOLD PIPERX6PHYSTATUS (posedge PIPECLK) (0.639::0.735))
-            (SETUP PIPERX6PHYSTATUS (posedge PIPECLK) (0.089::0.102))
+            (HOLD PIPECLK (posedge PIPECLK) (0.639::0.735))
+            (SETUP PIPECLK (posedge PIPECLK) (0.089::0.102))
             (HOLD PIPECLK (posedge PIPECLK) (0.642::0.738))
             (SETUP PIPECLK (posedge PIPECLK) (-0.021::-0.018))
             (HOLD PIPECLK (posedge PIPECLK) (0.645::0.743))
             (SETUP PIPECLK (posedge PIPECLK) (0.009::0.010))
-            (HOLD PIPECLK (posedge PIPECLK) (0.649::0.747))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.011::-0.010))
-            (HOLD PIPECLK (posedge PIPECLK) (0.626::0.721))
-            (SETUP PIPECLK (posedge PIPECLK) (0.040::0.046))
-            (HOLD PIPERX7CHANISALIGNED (posedge PIPECLK) (0.662::0.761))
-            (SETUP PIPERX7CHANISALIGNED (posedge PIPECLK) (0.012::0.014))
+            (HOLD PIPERX6STATUS2 (posedge PIPECLK) (0.649::0.747))
+            (SETUP PIPERX6STATUS2 (posedge PIPECLK) (-0.011::-0.010))
+            (HOLD PIPERX6VALID (posedge PIPECLK) (0.626::0.721))
+            (SETUP PIPERX6VALID (posedge PIPECLK) (0.040::0.046))
+            (HOLD PIPECLK (posedge PIPECLK) (0.662::0.761))
+            (SETUP PIPECLK (posedge PIPECLK) (0.012::0.014))
             (HOLD PIPERX7CHARISK0 (posedge PIPECLK) (0.668::0.769))
             (SETUP PIPERX7CHARISK0 (posedge PIPECLK) (0.005::0.006))
-            (HOLD PIPERX7CHARISK1 (posedge PIPECLK) (0.656::0.755))
-            (SETUP PIPERX7CHARISK1 (posedge PIPECLK) (0.048::0.055))
+            (HOLD PIPECLK (posedge PIPECLK) (0.656::0.755))
+            (SETUP PIPECLK (posedge PIPECLK) (0.048::0.055))
             (HOLD PIPERX7DATA0 (posedge PIPECLK) (0.659::0.758))
             (SETUP PIPERX7DATA0 (posedge PIPECLK) (0.052::0.059))
-            (HOLD PIPERX7DATA10 (posedge PIPECLK) (0.651::0.749))
-            (SETUP PIPERX7DATA10 (posedge PIPECLK) (-0.062::-0.054))
+            (HOLD PIPECLK (posedge PIPECLK) (0.651::0.749))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.062::-0.054))
             (HOLD PIPERX7DATA11 (posedge PIPECLK) (0.640::0.736))
             (SETUP PIPERX7DATA11 (posedge PIPECLK) (-0.070::-0.061))
             (HOLD PIPERX7DATA12 (posedge PIPECLK) (0.652::0.750))
@@ -2798,34 +2798,34 @@
             (SETUP PIPECLK (posedge PIPECLK) (-0.103::-0.090))
             (HOLD PIPERX7DATA14 (posedge PIPECLK) (0.645::0.742))
             (SETUP PIPERX7DATA14 (posedge PIPECLK) (-0.098::-0.085))
-            (HOLD PIPECLK (posedge PIPECLK) (0.646::0.744))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.013::-0.012))
-            (HOLD PIPECLK (posedge PIPECLK) (0.617::0.709))
-            (SETUP PIPECLK (posedge PIPECLK) (0.016::0.019))
-            (HOLD PIPERX7DATA2 (posedge PIPECLK) (0.656::0.755))
-            (SETUP PIPERX7DATA2 (posedge PIPECLK) (0.036::0.042))
+            (HOLD PIPERX7DATA15 (posedge PIPECLK) (0.646::0.744))
+            (SETUP PIPERX7DATA15 (posedge PIPECLK) (-0.013::-0.012))
+            (HOLD PIPERX7DATA1 (posedge PIPECLK) (0.617::0.709))
+            (SETUP PIPERX7DATA1 (posedge PIPECLK) (0.016::0.019))
+            (HOLD PIPECLK (posedge PIPECLK) (0.656::0.755))
+            (SETUP PIPECLK (posedge PIPECLK) (0.036::0.042))
             (HOLD PIPERX7DATA3 (posedge PIPECLK) (0.658::0.757))
             (SETUP PIPERX7DATA3 (posedge PIPECLK) (0.019::0.022))
             (HOLD PIPECLK (posedge PIPECLK) (0.664::0.763))
             (SETUP PIPECLK (posedge PIPECLK) (0.033::0.037))
-            (HOLD PIPECLK (posedge PIPECLK) (0.650::0.748))
-            (SETUP PIPECLK (posedge PIPECLK) (0.009::0.010))
-            (HOLD PIPECLK (posedge PIPECLK) (0.656::0.755))
-            (SETUP PIPECLK (posedge PIPECLK) (0.001::0.001))
-            (HOLD PIPECLK (posedge PIPECLK) (0.655::0.754))
-            (SETUP PIPECLK (posedge PIPECLK) (0.016::0.019))
-            (HOLD PIPERX7DATA8 (posedge PIPECLK) (0.643::0.739))
-            (SETUP PIPERX7DATA8 (posedge PIPECLK) (-0.030::-0.026))
-            (HOLD PIPECLK (posedge PIPECLK) (0.651::0.749))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.046::-0.040))
+            (HOLD PIPERX7DATA5 (posedge PIPECLK) (0.650::0.748))
+            (SETUP PIPERX7DATA5 (posedge PIPECLK) (0.009::0.010))
+            (HOLD PIPERX7DATA6 (posedge PIPECLK) (0.656::0.755))
+            (SETUP PIPERX7DATA6 (posedge PIPECLK) (0.001::0.001))
+            (HOLD PIPERX7DATA7 (posedge PIPECLK) (0.655::0.754))
+            (SETUP PIPERX7DATA7 (posedge PIPECLK) (0.016::0.019))
+            (HOLD PIPECLK (posedge PIPECLK) (0.643::0.739))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.030::-0.026))
+            (HOLD PIPERX7DATA9 (posedge PIPECLK) (0.651::0.749))
+            (SETUP PIPERX7DATA9 (posedge PIPECLK) (-0.046::-0.040))
             (HOLD PIPERX7ELECIDLE (posedge PIPECLK) (0.641::0.737))
             (SETUP PIPERX7ELECIDLE (posedge PIPECLK) (-0.072::-0.062))
-            (HOLD PIPERX7PHYSTATUS (posedge PIPECLK) (0.664::0.764))
-            (SETUP PIPERX7PHYSTATUS (posedge PIPECLK) (-0.025::-0.022))
+            (HOLD PIPECLK (posedge PIPECLK) (0.664::0.764))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.025::-0.022))
             (HOLD PIPERX7STATUS0 (posedge PIPECLK) (0.660::0.759))
             (SETUP PIPERX7STATUS0 (posedge PIPECLK) (0.043::0.050))
-            (HOLD PIPERX7STATUS1 (posedge PIPECLK) (0.655::0.753))
-            (SETUP PIPERX7STATUS1 (posedge PIPECLK) (0.057::0.066))
+            (HOLD PIPECLK (posedge PIPECLK) (0.655::0.753))
+            (SETUP PIPECLK (posedge PIPECLK) (0.057::0.066))
             (HOLD PIPERX7STATUS2 (posedge PIPECLK) (0.650::0.748))
             (SETUP PIPERX7STATUS2 (posedge PIPECLK) (0.078::0.089))
             (HOLD PIPECLK (posedge PIPECLK) (0.664::0.763))
@@ -2834,8 +2834,8 @@
             (SETUP PL2DIRECTEDLSTATE0 (posedge USERCLK2) (0.075::0.086))
             (HOLD USERCLK2 (posedge USERCLK2) (0.613::0.705))
             (SETUP USERCLK2 (posedge USERCLK2) (0.114::0.131))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.616::0.708))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.117::0.134))
+            (HOLD PL2DIRECTEDLSTATE2 (posedge USERCLK2) (0.616::0.708))
+            (SETUP PL2DIRECTEDLSTATE2 (posedge USERCLK2) (0.117::0.134))
             (HOLD PL2DIRECTEDLSTATE3 (posedge USERCLK2) (0.569::0.654))
             (SETUP PL2DIRECTEDLSTATE3 (posedge USERCLK2) (0.143::0.164))
             (HOLD PL2DIRECTEDLSTATE4 (posedge USERCLK2) (0.591::0.680))
@@ -2846,26 +2846,26 @@
             (SETUP PIPECLK (posedge PIPECLK) (-0.046::-0.040))
             (HOLD PLDBGMODE2 (posedge PIPECLK) (0.676::0.778))
             (SETUP PLDBGMODE2 (posedge PIPECLK) (-0.015::-0.013))
-            (HOLD PLDIRECTEDLINKAUTON (posedge PIPECLK) (0.679::0.781))
-            (SETUP PLDIRECTEDLINKAUTON (posedge PIPECLK) (-0.123::-0.108))
-            (HOLD PLDIRECTEDLINKCHANGE0 (posedge PIPECLK) (0.674::0.775))
-            (SETUP PLDIRECTEDLINKCHANGE0 (posedge PIPECLK) (-0.021::-0.018))
+            (HOLD PIPECLK (posedge PIPECLK) (0.679::0.781))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.123::-0.108))
+            (HOLD PIPECLK (posedge PIPECLK) (0.674::0.775))
+            (SETUP PIPECLK (posedge PIPECLK) (-0.021::-0.018))
             (HOLD PLDIRECTEDLINKCHANGE1 (posedge PIPECLK) (0.686::0.789))
             (SETUP PLDIRECTEDLINKCHANGE1 (posedge PIPECLK) (0.036::0.042))
             (HOLD PLDIRECTEDLINKSPEED (posedge PIPECLK) (0.684::0.787))
             (SETUP PLDIRECTEDLINKSPEED (posedge PIPECLK) (-0.042::-0.036))
             (HOLD PIPECLK (posedge PIPECLK) (0.668::0.769))
             (SETUP PIPECLK (posedge PIPECLK) (-0.072::-0.062))
-            (HOLD PIPECLK (posedge PIPECLK) (0.679::0.781))
-            (SETUP PIPECLK (posedge PIPECLK) (-0.111::-0.097))
-            (HOLD PLDIRECTEDLTSSMNEW0 (posedge PIPECLK) (0.671::0.772))
-            (SETUP PLDIRECTEDLTSSMNEW0 (posedge PIPECLK) (0.052::0.060))
+            (HOLD PLDIRECTEDLINKWIDTH1 (posedge PIPECLK) (0.679::0.781))
+            (SETUP PLDIRECTEDLINKWIDTH1 (posedge PIPECLK) (-0.111::-0.097))
+            (HOLD PIPECLK (posedge PIPECLK) (0.671::0.772))
+            (SETUP PIPECLK (posedge PIPECLK) (0.052::0.060))
             (HOLD PLDIRECTEDLTSSMNEW1 (posedge PIPECLK) (0.655::0.753))
             (SETUP PLDIRECTEDLTSSMNEW1 (posedge PIPECLK) (-0.041::-0.036))
             (HOLD PLDIRECTEDLTSSMNEW2 (posedge PIPECLK) (0.667::0.768))
             (SETUP PLDIRECTEDLTSSMNEW2 (posedge PIPECLK) (-0.009::-0.008))
-            (HOLD PLDIRECTEDLTSSMNEW3 (posedge PIPECLK) (0.650::0.748))
-            (SETUP PLDIRECTEDLTSSMNEW3 (posedge PIPECLK) (0.012::0.014))
+            (HOLD PIPECLK (posedge PIPECLK) (0.650::0.748))
+            (SETUP PIPECLK (posedge PIPECLK) (0.012::0.014))
             (HOLD PLDIRECTEDLTSSMNEW4 (posedge PIPECLK) (0.676::0.778))
             (SETUP PLDIRECTEDLTSSMNEW4 (posedge PIPECLK) (-0.063::-0.055))
             (HOLD PLDIRECTEDLTSSMNEW5 (posedge PIPECLK) (0.676::0.778))
@@ -2874,18 +2874,18 @@
             (SETUP PLDIRECTEDLTSSMNEWVLD (posedge PIPECLK) (-0.026::-0.023))
             (HOLD PLDIRECTEDLTSSMSTALL (posedge PIPECLK) (0.680::0.782))
             (SETUP PLDIRECTEDLTSSMSTALL (posedge PIPECLK) (-0.026::-0.023))
-            (HOLD PLDOWNSTREAMDEEMPHSOURCE (posedge PIPECLK) (0.651::0.749))
-            (SETUP PLDOWNSTREAMDEEMPHSOURCE (posedge PIPECLK) (0.007::0.008))
+            (HOLD PIPECLK (posedge PIPECLK) (0.651::0.749))
+            (SETUP PIPECLK (posedge PIPECLK) (0.007::0.008))
             (HOLD PLRSTN (posedge PIPECLK) (0.616::0.708))
             (SETUP PLRSTN (posedge PIPECLK) (0.071::0.081))
             (HOLD PLTRANSMITHOTRST (posedge PIPECLK) (0.655::0.754))
             (SETUP PLTRANSMITHOTRST (posedge PIPECLK) (0.030::0.034))
-            (HOLD PIPECLK (posedge PIPECLK) (0.630::0.725))
-            (SETUP PIPECLK (posedge PIPECLK) (0.053::0.061))
-            (HOLD SCANENABLEN (posedge PIPECLK) (0.208::0.239))
-            (SETUP SCANENABLEN (posedge PIPECLK) (2.506::2.883))
-            (HOLD PIPECLK (posedge PIPECLK) (0.479::0.551))
-            (SETUP PIPECLK (posedge PIPECLK) (1.221::1.405))
+            (HOLD PLUPSTREAMPREFERDEEMPH (posedge PIPECLK) (0.630::0.725))
+            (SETUP PLUPSTREAMPREFERDEEMPH (posedge PIPECLK) (0.053::0.061))
+            (HOLD PIPECLK (posedge PIPECLK) (0.208::0.239))
+            (SETUP PIPECLK (posedge PIPECLK) (2.506::2.883))
+            (HOLD SCANMODEN (posedge PIPECLK) (0.479::0.551))
+            (SETUP SCANMODEN (posedge PIPECLK) (1.221::1.405))
             (HOLD TL2ASPMSUSPENDCREDITCHECK (posedge USERCLK2) (0.585::0.673))
             (SETUP TL2ASPMSUSPENDCREDITCHECK (posedge USERCLK2) (0.099::0.114))
             (HOLD TL2PPMSUSPENDREQ (posedge USERCLK2) (0.598::0.687))
@@ -2896,12 +2896,12 @@
             (SETUP TRNFCSEL0 (posedge USERCLK2) (0.000::0.000))
             (HOLD TRNFCSEL1 (posedge USERCLK2) (0.706::0.812))
             (SETUP TRNFCSEL1 (posedge USERCLK2) (-0.084::-0.073))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.702::0.807))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.059::-0.052))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.344::0.395))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.934::1.074))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.699::0.804))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.015::0.018))
+            (HOLD TRNFCSEL2 (posedge USERCLK2) (0.702::0.807))
+            (SETUP TRNFCSEL2 (posedge USERCLK2) (-0.059::-0.052))
+            (HOLD TRNRDSTRDY (posedge USERCLK2) (0.344::0.395))
+            (SETUP TRNRDSTRDY (posedge USERCLK2) (0.934::1.074))
+            (HOLD TRNRFCPRET (posedge USERCLK2) (0.699::0.804))
+            (SETUP TRNRFCPRET (posedge USERCLK2) (0.015::0.018))
             (HOLD TRNRNPOK (posedge USERCLK2) (0.732::0.842))
             (SETUP TRNRNPOK (posedge USERCLK2) (-0.018::-0.015))
             (HOLD TRNRNPREQ (posedge USERCLK2) (0.594::0.683))
@@ -2910,122 +2910,122 @@
             (SETUP TRNTCFGGNT (posedge USERCLK2) (0.049::0.056))
             (HOLD TRNTD0 (posedge USERCLK2) (0.633::0.728))
             (SETUP TRNTD0 (posedge USERCLK2) (0.101::0.116))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.579::0.667))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.110::0.127))
+            (HOLD TRNTD100 (posedge USERCLK2) (0.579::0.667))
+            (SETUP TRNTD100 (posedge USERCLK2) (0.110::0.127))
             (HOLD TRNTD101 (posedge USERCLK2) (0.615::0.707))
             (SETUP TRNTD101 (posedge USERCLK2) (0.201::0.231))
-            (HOLD TRNTD102 (posedge USERCLK2) (0.621::0.714))
-            (SETUP TRNTD102 (posedge USERCLK2) (0.337::0.388))
-            (HOLD TRNTD103 (posedge USERCLK2) (0.594::0.683))
-            (SETUP TRNTD103 (posedge USERCLK2) (0.182::0.209))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.621::0.714))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.337::0.388))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.594::0.683))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.182::0.209))
             (HOLD TRNTD104 (posedge USERCLK2) (0.580::0.668))
             (SETUP TRNTD104 (posedge USERCLK2) (0.143::0.164))
             (HOLD TRNTD105 (posedge USERCLK2) (0.643::0.739))
             (SETUP TRNTD105 (posedge USERCLK2) (0.126::0.145))
-            (HOLD TRNTD106 (posedge USERCLK2) (0.563::0.648))
-            (SETUP TRNTD106 (posedge USERCLK2) (0.241::0.278))
-            (HOLD TRNTD107 (posedge USERCLK2) (0.665::0.765))
-            (SETUP TRNTD107 (posedge USERCLK2) (0.107::0.123))
-            (HOLD TRNTD108 (posedge USERCLK2) (0.613::0.705))
-            (SETUP TRNTD108 (posedge USERCLK2) (0.173::0.199))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.563::0.648))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.241::0.278))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.665::0.765))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.107::0.123))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.613::0.705))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.173::0.199))
             (HOLD TRNTD109 (posedge USERCLK2) (0.611::0.703))
             (SETUP TRNTD109 (posedge USERCLK2) (0.102::0.118))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.650::0.748))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.006::-0.005))
+            (HOLD TRNTD10 (posedge USERCLK2) (0.650::0.748))
+            (SETUP TRNTD10 (posedge USERCLK2) (-0.006::-0.005))
             (HOLD TRNTD110 (posedge USERCLK2) (0.617::0.710))
             (SETUP TRNTD110 (posedge USERCLK2) (0.061::0.071))
             (HOLD USERCLK2 (posedge USERCLK2) (0.639::0.735))
             (SETUP USERCLK2 (posedge USERCLK2) (0.932::1.072))
             (HOLD TRNTD112 (posedge USERCLK2) (0.667::0.768))
             (SETUP TRNTD112 (posedge USERCLK2) (0.117::0.134))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.680::0.782))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.075::0.086))
-            (HOLD TRNTD114 (posedge USERCLK2) (0.665::0.765))
-            (SETUP TRNTD114 (posedge USERCLK2) (-0.004::-0.004))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.679::0.781))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.005::0.006))
+            (HOLD TRNTD113 (posedge USERCLK2) (0.680::0.782))
+            (SETUP TRNTD113 (posedge USERCLK2) (0.075::0.086))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.665::0.765))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.004::-0.004))
+            (HOLD TRNTD115 (posedge USERCLK2) (0.679::0.781))
+            (SETUP TRNTD115 (posedge USERCLK2) (0.005::0.006))
             (HOLD TRNTD116 (posedge USERCLK2) (0.713::0.821))
             (SETUP TRNTD116 (posedge USERCLK2) (0.032::0.036))
             (HOLD TRNTD117 (posedge USERCLK2) (0.668::0.769))
             (SETUP TRNTD117 (posedge USERCLK2) (0.005::0.006))
-            (HOLD TRNTD118 (posedge USERCLK2) (0.703::0.809))
-            (SETUP TRNTD118 (posedge USERCLK2) (0.078::0.089))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.703::0.809))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.078::0.089))
             (HOLD TRNTD119 (posedge USERCLK2) (0.702::0.807))
             (SETUP TRNTD119 (posedge USERCLK2) (0.047::0.054))
             (HOLD TRNTD11 (posedge USERCLK2) (0.649::0.747))
             (SETUP TRNTD11 (posedge USERCLK2) (-0.047::-0.041))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.707::0.813))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.012::0.014))
-            (HOLD TRNTD121 (posedge USERCLK2) (0.704::0.810))
-            (SETUP TRNTD121 (posedge USERCLK2) (0.007::0.008))
-            (HOLD TRNTD122 (posedge USERCLK2) (0.692::0.796))
-            (SETUP TRNTD122 (posedge USERCLK2) (-0.044::-0.038))
+            (HOLD TRNTD120 (posedge USERCLK2) (0.707::0.813))
+            (SETUP TRNTD120 (posedge USERCLK2) (0.012::0.014))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.704::0.810))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.007::0.008))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.692::0.796))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.044::-0.038))
             (HOLD TRNTD123 (posedge USERCLK2) (0.726::0.835))
             (SETUP TRNTD123 (posedge USERCLK2) (-0.040::-0.035))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.737::0.848))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.056::-0.049))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.731::0.841))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.067::-0.059))
+            (HOLD TRNTD124 (posedge USERCLK2) (0.737::0.848))
+            (SETUP TRNTD124 (posedge USERCLK2) (-0.056::-0.049))
+            (HOLD TRNTD125 (posedge USERCLK2) (0.731::0.841))
+            (SETUP TRNTD125 (posedge USERCLK2) (-0.067::-0.059))
             (HOLD TRNTD126 (posedge USERCLK2) (0.732::0.842))
             (SETUP TRNTD126 (posedge USERCLK2) (-0.075::-0.065))
             (HOLD TRNTD127 (posedge USERCLK2) (0.703::0.809))
             (SETUP TRNTD127 (posedge USERCLK2) (-0.054::-0.047))
-            (HOLD TRNTD12 (posedge USERCLK2) (0.587::0.675))
-            (SETUP TRNTD12 (posedge USERCLK2) (0.030::0.034))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.587::0.675))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.030::0.034))
             (HOLD TRNTD13 (posedge USERCLK2) (0.598::0.687))
             (SETUP TRNTD13 (posedge USERCLK2) (0.021::0.024))
-            (HOLD TRNTD14 (posedge USERCLK2) (0.585::0.673))
-            (SETUP TRNTD14 (posedge USERCLK2) (0.060::0.069))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.585::0.673))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.060::0.069))
             (HOLD TRNTD15 (posedge USERCLK2) (0.612::0.704))
             (SETUP TRNTD15 (posedge USERCLK2) (0.069::0.079))
-            (HOLD TRNTD16 (posedge USERCLK2) (0.626::0.720))
-            (SETUP TRNTD16 (posedge USERCLK2) (0.118::0.135))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.616::0.708))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.142::0.163))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.626::0.720))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.118::0.135))
+            (HOLD TRNTD17 (posedge USERCLK2) (0.616::0.708))
+            (SETUP TRNTD17 (posedge USERCLK2) (0.142::0.163))
             (HOLD TRNTD18 (posedge USERCLK2) (0.614::0.706))
             (SETUP TRNTD18 (posedge USERCLK2) (0.108::0.125))
             (HOLD USERCLK2 (posedge USERCLK2) (0.624::0.718))
             (SETUP USERCLK2 (posedge USERCLK2) (0.099::0.113))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.689::0.792))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.032::-0.028))
-            (HOLD TRNTD20 (posedge USERCLK2) (0.583::0.671))
-            (SETUP TRNTD20 (posedge USERCLK2) (0.067::0.077))
-            (HOLD TRNTD21 (posedge USERCLK2) (0.605::0.696))
-            (SETUP TRNTD21 (posedge USERCLK2) (0.115::0.132))
+            (HOLD TRNTD1 (posedge USERCLK2) (0.689::0.792))
+            (SETUP TRNTD1 (posedge USERCLK2) (-0.032::-0.028))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.583::0.671))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.067::0.077))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.605::0.696))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.115::0.132))
             (HOLD TRNTD22 (posedge USERCLK2) (0.581::0.669))
             (SETUP TRNTD22 (posedge USERCLK2) (0.129::0.149))
-            (HOLD TRNTD23 (posedge USERCLK2) (0.626::0.721))
-            (SETUP TRNTD23 (posedge USERCLK2) (0.143::0.164))
-            (HOLD TRNTD24 (posedge USERCLK2) (0.577::0.664))
-            (SETUP TRNTD24 (posedge USERCLK2) (0.154::0.177))
-            (HOLD TRNTD25 (posedge USERCLK2) (0.574::0.660))
-            (SETUP TRNTD25 (posedge USERCLK2) (0.089::0.103))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.626::0.721))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.143::0.164))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.577::0.664))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.154::0.177))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.574::0.660))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.089::0.103))
             (HOLD TRNTD26 (posedge USERCLK2) (0.582::0.670))
             (SETUP TRNTD26 (posedge USERCLK2) (0.127::0.146))
             (HOLD TRNTD27 (posedge USERCLK2) (0.560::0.644))
             (SETUP TRNTD27 (posedge USERCLK2) (0.279::0.321))
-            (HOLD TRNTD28 (posedge USERCLK2) (0.558::0.642))
-            (SETUP TRNTD28 (posedge USERCLK2) (0.141::0.162))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.558::0.642))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.141::0.162))
             (HOLD TRNTD29 (posedge USERCLK2) (0.592::0.681))
             (SETUP TRNTD29 (posedge USERCLK2) (0.145::0.166))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.690::0.794))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.020::0.023))
+            (HOLD TRNTD2 (posedge USERCLK2) (0.690::0.794))
+            (SETUP TRNTD2 (posedge USERCLK2) (0.020::0.023))
             (HOLD TRNTD30 (posedge USERCLK2) (0.629::0.724))
             (SETUP TRNTD30 (posedge USERCLK2) (0.124::0.142))
             (HOLD USERCLK2 (posedge USERCLK2) (0.597::0.686))
             (SETUP USERCLK2 (posedge USERCLK2) (0.116::0.133))
-            (HOLD TRNTD32 (posedge USERCLK2) (0.507::0.583))
-            (SETUP TRNTD32 (posedge USERCLK2) (0.187::0.215))
-            (HOLD TRNTD33 (posedge USERCLK2) (0.579::0.667))
-            (SETUP TRNTD33 (posedge USERCLK2) (0.119::0.137))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.507::0.583))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.187::0.215))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.579::0.667))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.119::0.137))
             (HOLD TRNTD34 (posedge USERCLK2) (0.578::0.665))
             (SETUP TRNTD34 (posedge USERCLK2) (0.212::0.244))
             (HOLD TRNTD35 (posedge USERCLK2) (0.531::0.610))
             (SETUP TRNTD35 (posedge USERCLK2) (0.273::0.314))
             (HOLD TRNTD36 (posedge USERCLK2) (0.578::0.665))
             (SETUP TRNTD36 (posedge USERCLK2) (0.256::0.294))
-            (HOLD TRNTD37 (posedge USERCLK2) (0.545::0.627))
-            (SETUP TRNTD37 (posedge USERCLK2) (0.303::0.348))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.545::0.627))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.303::0.348))
             (HOLD TRNTD38 (posedge USERCLK2) (0.546::0.628))
             (SETUP TRNTD38 (posedge USERCLK2) (0.342::0.393))
             (HOLD TRNTD39 (posedge USERCLK2) (0.561::0.646))
@@ -3038,14 +3038,14 @@
             (SETUP TRNTD41 (posedge USERCLK2) (0.118::0.135))
             (HOLD TRNTD42 (posedge USERCLK2) (0.660::0.759))
             (SETUP TRNTD42 (posedge USERCLK2) (-0.036::-0.032))
-            (HOLD TRNTD43 (posedge USERCLK2) (0.657::0.756))
-            (SETUP TRNTD43 (posedge USERCLK2) (-0.032::-0.028))
-            (HOLD TRNTD44 (posedge USERCLK2) (0.671::0.772))
-            (SETUP TRNTD44 (posedge USERCLK2) (0.067::0.077))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.657::0.756))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.032::-0.028))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.671::0.772))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.067::0.077))
             (HOLD TRNTD45 (posedge USERCLK2) (0.662::0.761))
             (SETUP TRNTD45 (posedge USERCLK2) (-0.058::-0.051))
-            (HOLD TRNTD46 (posedge USERCLK2) (0.695::0.800))
-            (SETUP TRNTD46 (posedge USERCLK2) (-0.036::-0.032))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.695::0.800))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.036::-0.032))
             (HOLD TRNTD47 (posedge USERCLK2) (0.609::0.701))
             (SETUP TRNTD47 (posedge USERCLK2) (0.599::0.690))
             (HOLD TRNTD48 (posedge USERCLK2) (0.662::0.761))
@@ -3056,12 +3056,12 @@
             (SETUP TRNTD4 (posedge USERCLK2) (0.208::0.239))
             (HOLD TRNTD50 (posedge USERCLK2) (0.698::0.803))
             (SETUP TRNTD50 (posedge USERCLK2) (-0.021::-0.018))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.711::0.818))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.028::0.032))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.720::0.828))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.062::0.072))
-            (HOLD TRNTD53 (posedge USERCLK2) (0.668::0.769))
-            (SETUP TRNTD53 (posedge USERCLK2) (0.043::0.050))
+            (HOLD TRNTD51 (posedge USERCLK2) (0.711::0.818))
+            (SETUP TRNTD51 (posedge USERCLK2) (0.028::0.032))
+            (HOLD TRNTD52 (posedge USERCLK2) (0.720::0.828))
+            (SETUP TRNTD52 (posedge USERCLK2) (0.062::0.072))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.668::0.769))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.043::0.050))
             (HOLD TRNTD54 (posedge USERCLK2) (0.677::0.779))
             (SETUP TRNTD54 (posedge USERCLK2) (-0.035::-0.031))
             (HOLD TRNTD55 (posedge USERCLK2) (0.680::0.782))
@@ -3076,8 +3076,8 @@
             (SETUP TRNTD59 (posedge USERCLK2) (-0.026::-0.023))
             (HOLD TRNTD5 (posedge USERCLK2) (0.585::0.673))
             (SETUP TRNTD5 (posedge USERCLK2) (0.208::0.239))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.676::0.778))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.039::-0.034))
+            (HOLD TRNTD60 (posedge USERCLK2) (0.676::0.778))
+            (SETUP TRNTD60 (posedge USERCLK2) (-0.039::-0.034))
             (HOLD TRNTD61 (posedge USERCLK2) (0.670::0.771))
             (SETUP TRNTD61 (posedge USERCLK2) (-0.025::-0.022))
             (HOLD TRNTD62 (posedge USERCLK2) (0.690::0.794))
@@ -3090,24 +3090,24 @@
             (SETUP TRNTD65 (posedge USERCLK2) (-0.072::-0.062))
             (HOLD TRNTD66 (posedge USERCLK2) (0.766::0.881))
             (SETUP TRNTD66 (posedge USERCLK2) (-0.152::-0.132))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.768::0.883))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.147::-0.129))
+            (HOLD TRNTD67 (posedge USERCLK2) (0.768::0.883))
+            (SETUP TRNTD67 (posedge USERCLK2) (-0.147::-0.129))
             (HOLD TRNTD68 (posedge USERCLK2) (0.749::0.861))
             (SETUP TRNTD68 (posedge USERCLK2) (-0.139::-0.121))
             (HOLD TRNTD69 (posedge USERCLK2) (0.764::0.879))
             (SETUP TRNTD69 (posedge USERCLK2) (-0.151::-0.132))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.619::0.712))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.082::0.095))
+            (HOLD TRNTD6 (posedge USERCLK2) (0.619::0.712))
+            (SETUP TRNTD6 (posedge USERCLK2) (0.082::0.095))
             (HOLD TRNTD70 (posedge USERCLK2) (0.749::0.861))
             (SETUP TRNTD70 (posedge USERCLK2) (-0.054::-0.047))
             (HOLD USERCLK2 (posedge USERCLK2) (0.771::0.887))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.030::-0.026))
-            (HOLD TRNTD72 (posedge USERCLK2) (0.772::0.888))
-            (SETUP TRNTD72 (posedge USERCLK2) (-0.041::-0.036))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.772::0.888))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.041::-0.036))
             (HOLD TRNTD73 (posedge USERCLK2) (0.746::0.858))
             (SETUP TRNTD73 (posedge USERCLK2) (-0.147::-0.129))
-            (HOLD TRNTD74 (posedge USERCLK2) (0.702::0.808))
-            (SETUP TRNTD74 (posedge USERCLK2) (0.000::0.000))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.702::0.808))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.000::0.000))
             (HOLD TRNTD75 (posedge USERCLK2) (0.719::0.827))
             (SETUP TRNTD75 (posedge USERCLK2) (0.032::0.036))
             (HOLD TRNTD76 (posedge USERCLK2) (0.684::0.787))
@@ -3122,14 +3122,14 @@
             (SETUP TRNTD7 (posedge USERCLK2) (0.155::0.179))
             (HOLD TRNTD80 (posedge USERCLK2) (0.623::0.717))
             (SETUP TRNTD80 (posedge USERCLK2) (0.080::0.093))
-            (HOLD TRNTD81 (posedge USERCLK2) (0.560::0.645))
-            (SETUP TRNTD81 (posedge USERCLK2) (0.090::0.104))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.560::0.645))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.090::0.104))
             (HOLD TRNTD82 (posedge USERCLK2) (0.596::0.685))
             (SETUP TRNTD82 (posedge USERCLK2) (0.099::0.114))
             (HOLD TRNTD83 (posedge USERCLK2) (0.605::0.696))
             (SETUP TRNTD83 (posedge USERCLK2) (0.184::0.212))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.552::0.635))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.100::0.115))
+            (HOLD TRNTD84 (posedge USERCLK2) (0.552::0.635))
+            (SETUP TRNTD84 (posedge USERCLK2) (0.100::0.115))
             (HOLD USERCLK2 (posedge USERCLK2) (0.561::0.646))
             (SETUP USERCLK2 (posedge USERCLK2) (0.159::0.183))
             (HOLD TRNTD86 (posedge USERCLK2) (0.552::0.635))
@@ -3168,28 +3168,28 @@
             (SETUP TRNTDLLPDATA0 (posedge USERCLK2) (-0.127::-0.110))
             (HOLD TRNTDLLPDATA10 (posedge USERCLK2) (0.706::0.812))
             (SETUP TRNTDLLPDATA10 (posedge USERCLK2) (-0.099::-0.086))
-            (HOLD TRNTDLLPDATA11 (posedge USERCLK2) (0.698::0.803))
-            (SETUP TRNTDLLPDATA11 (posedge USERCLK2) (-0.083::-0.072))
-            (HOLD TRNTDLLPDATA12 (posedge USERCLK2) (0.704::0.810))
-            (SETUP TRNTDLLPDATA12 (posedge USERCLK2) (-0.094::-0.082))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.698::0.803))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.083::-0.072))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.704::0.810))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.094::-0.082))
             (HOLD USERCLK2 (posedge USERCLK2) (0.663::0.762))
             (SETUP USERCLK2 (posedge USERCLK2) (-0.067::-0.059))
             (HOLD TRNTDLLPDATA14 (posedge USERCLK2) (0.667::0.768))
             (SETUP TRNTDLLPDATA14 (posedge USERCLK2) (-0.070::-0.061))
             (HOLD TRNTDLLPDATA15 (posedge USERCLK2) (0.664::0.763))
             (SETUP TRNTDLLPDATA15 (posedge USERCLK2) (-0.063::-0.055))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.659::0.758))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.044::-0.038))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.663::0.762))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.047::-0.041))
+            (HOLD TRNTDLLPDATA16 (posedge USERCLK2) (0.659::0.758))
+            (SETUP TRNTDLLPDATA16 (posedge USERCLK2) (-0.044::-0.038))
+            (HOLD TRNTDLLPDATA17 (posedge USERCLK2) (0.663::0.762))
+            (SETUP TRNTDLLPDATA17 (posedge USERCLK2) (-0.047::-0.041))
             (HOLD TRNTDLLPDATA18 (posedge USERCLK2) (0.659::0.758))
             (SETUP TRNTDLLPDATA18 (posedge USERCLK2) (-0.041::-0.036))
-            (HOLD TRNTDLLPDATA19 (posedge USERCLK2) (0.658::0.757))
-            (SETUP TRNTDLLPDATA19 (posedge USERCLK2) (0.001::0.001))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.658::0.757))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.001::0.001))
             (HOLD TRNTDLLPDATA1 (posedge USERCLK2) (0.704::0.810))
             (SETUP TRNTDLLPDATA1 (posedge USERCLK2) (-0.136::-0.119))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.644::0.740))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.009::-0.008))
+            (HOLD TRNTDLLPDATA20 (posedge USERCLK2) (0.644::0.740))
+            (SETUP TRNTDLLPDATA20 (posedge USERCLK2) (-0.009::-0.008))
             (HOLD TRNTDLLPDATA21 (posedge USERCLK2) (0.651::0.749))
             (SETUP TRNTDLLPDATA21 (posedge USERCLK2) (0.037::0.043))
             (HOLD USERCLK2 (posedge USERCLK2) (0.647::0.745))
@@ -3198,56 +3198,56 @@
             (SETUP TRNTDLLPDATA23 (posedge USERCLK2) (0.019::0.022))
             (HOLD TRNTDLLPDATA24 (posedge USERCLK2) (0.622::0.716))
             (SETUP TRNTDLLPDATA24 (posedge USERCLK2) (0.003::0.003))
-            (HOLD TRNTDLLPDATA25 (posedge USERCLK2) (0.633::0.728))
-            (SETUP TRNTDLLPDATA25 (posedge USERCLK2) (-0.042::-0.036))
-            (HOLD TRNTDLLPDATA26 (posedge USERCLK2) (0.619::0.712))
-            (SETUP TRNTDLLPDATA26 (posedge USERCLK2) (0.069::0.079))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.606::0.697))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.089::0.103))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.633::0.728))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.042::-0.036))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.619::0.712))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.069::0.079))
+            (HOLD TRNTDLLPDATA27 (posedge USERCLK2) (0.606::0.697))
+            (SETUP TRNTDLLPDATA27 (posedge USERCLK2) (0.089::0.103))
             (HOLD TRNTDLLPDATA28 (posedge USERCLK2) (0.577::0.664))
             (SETUP TRNTDLLPDATA28 (posedge USERCLK2) (0.041::0.047))
             (HOLD TRNTDLLPDATA29 (posedge USERCLK2) (0.638::0.734))
             (SETUP TRNTDLLPDATA29 (posedge USERCLK2) (0.039::0.045))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.711::0.818))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.133::-0.116))
+            (HOLD TRNTDLLPDATA2 (posedge USERCLK2) (0.711::0.818))
+            (SETUP TRNTDLLPDATA2 (posedge USERCLK2) (-0.133::-0.116))
             (HOLD TRNTDLLPDATA30 (posedge USERCLK2) (0.643::0.739))
             (SETUP TRNTDLLPDATA30 (posedge USERCLK2) (0.033::0.037))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.635::0.730))
-            (SETUP USERCLK2 (posedge USERCLK2) (0.067::0.077))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.715::0.823))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.123::-0.108))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.713::0.821))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.135::-0.118))
-            (HOLD TRNTDLLPDATA5 (posedge USERCLK2) (0.712::0.820))
-            (SETUP TRNTDLLPDATA5 (posedge USERCLK2) (-0.142::-0.124))
-            (HOLD TRNTDLLPDATA6 (posedge USERCLK2) (0.712::0.820))
-            (SETUP TRNTDLLPDATA6 (posedge USERCLK2) (-0.140::-0.122))
+            (HOLD TRNTDLLPDATA31 (posedge USERCLK2) (0.635::0.730))
+            (SETUP TRNTDLLPDATA31 (posedge USERCLK2) (0.067::0.077))
+            (HOLD TRNTDLLPDATA3 (posedge USERCLK2) (0.715::0.823))
+            (SETUP TRNTDLLPDATA3 (posedge USERCLK2) (-0.123::-0.108))
+            (HOLD TRNTDLLPDATA4 (posedge USERCLK2) (0.713::0.821))
+            (SETUP TRNTDLLPDATA4 (posedge USERCLK2) (-0.135::-0.118))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.712::0.820))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.142::-0.124))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.712::0.820))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.140::-0.122))
             (HOLD TRNTDLLPDATA7 (posedge USERCLK2) (0.706::0.812))
             (SETUP TRNTDLLPDATA7 (posedge USERCLK2) (-0.108::-0.094))
             (HOLD TRNTDLLPDATA8 (posedge USERCLK2) (0.702::0.808))
             (SETUP TRNTDLLPDATA8 (posedge USERCLK2) (-0.116::-0.101))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.707::0.813))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.121::-0.106))
+            (HOLD TRNTDLLPDATA9 (posedge USERCLK2) (0.707::0.813))
+            (SETUP TRNTDLLPDATA9 (posedge USERCLK2) (-0.121::-0.106))
             (HOLD TRNTDLLPSRCRDY (posedge USERCLK2) (0.571::0.657))
             (SETUP TRNTDLLPSRCRDY (posedge USERCLK2) (0.099::0.113))
             (HOLD TRNTECRCGEN (posedge USERCLK2) (0.728::0.837))
             (SETUP TRNTECRCGEN (posedge USERCLK2) (0.848::0.976))
-            (HOLD TRNTEOF (posedge USERCLK2) (0.696::0.801))
-            (SETUP TRNTEOF (posedge USERCLK2) (0.960::1.104))
-            (HOLD TRNTERRFWD (posedge USERCLK2) (0.738::0.849))
-            (SETUP TRNTERRFWD (posedge USERCLK2) (-0.092::-0.081))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.696::0.801))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.960::1.104))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.738::0.849))
+            (SETUP USERCLK2 (posedge USERCLK2) (-0.092::-0.081))
             (HOLD TRNTREM0 (posedge USERCLK2) (0.633::0.728))
             (SETUP TRNTREM0 (posedge USERCLK2) (0.932::1.072))
-            (HOLD TRNTREM1 (posedge USERCLK2) (0.725::0.834))
-            (SETUP TRNTREM1 (posedge USERCLK2) (0.880::1.012))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.725::0.834))
+            (SETUP USERCLK2 (posedge USERCLK2) (0.880::1.012))
             (HOLD USERCLK2 (posedge USERCLK2) (0.695::0.800))
             (SETUP USERCLK2 (posedge USERCLK2) (1.023::1.177))
             (HOLD TRNTSRCDSC (posedge USERCLK2) (0.722::0.831))
             (SETUP TRNTSRCDSC (posedge USERCLK2) (0.077::0.088))
-            (HOLD TRNTSRCRDY (posedge USERCLK2) (0.721::0.830))
-            (SETUP TRNTSRCRDY (posedge USERCLK2) (1.005::1.156))
-            (HOLD USERCLK2 (posedge USERCLK2) (0.740::0.852))
-            (SETUP USERCLK2 (posedge USERCLK2) (-0.112::-0.098))
+            (HOLD USERCLK2 (posedge USERCLK2) (0.721::0.830))
+            (SETUP USERCLK2 (posedge USERCLK2) (1.005::1.156))
+            (HOLD TRNTSTR (posedge USERCLK2) (0.740::0.852))
+            (SETUP TRNTSTR (posedge USERCLK2) (-0.112::-0.098))
         )
     )
 )
\ No newline at end of file
diff --git a/artix7/timings/RIOB33.sdf b/artix7/timings/RIOB33.sdf
index 035c135..1a3e8c5 100644
--- a/artix7/timings/RIOB33.sdf
+++ b/artix7/timings/RIOB33.sdf
@@ -4,15 +4,6 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "IOB33S_INBUF_ENIOB33_IOBS_INBUF_EN")
-        (INSTANCE IOB33S)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH IBUFDISABLE OUT (0.340::0.391)(1.027::1.182))
-            )
-        )
-    )
-    (CELL
         (CELLTYPE "IOB33M_INBUF_ENIOB33_IOBM_INBUF_EN")
         (INSTANCE IOB33M)
         (DELAY
@@ -21,4 +12,13 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "IOB33S_INBUF_ENIOB33_IOBS_INBUF_EN")
+        (INSTANCE IOB33S)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH IBUFDISABLE OUT (0.340::0.391)(1.027::1.182))
+            )
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/RIOI3.sdf b/artix7/timings/RIOI3.sdf
index f22fe8e..28404dd 100644
--- a/artix7/timings/RIOI3.sdf
+++ b/artix7/timings/RIOI3.sdf
@@ -4,205 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
+        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.059::-0.051))
-            (SETUP CK (posedge CK) (0.380::0.504))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.142::-0.124))
-            (RECOVERY CK (posedge CK) (0.261::0.300))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.651::0.798))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.697::0.873))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.352::0.405))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.351::0.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.707::0.885))
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.318::-0.277))
-            (RECOVERY CK (posedge CK) (0.249::0.286))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.471::0.591))
+            (HOLD C (posedge C) (0.128::0.138))
+            (SETUP C (posedge C) (0.094::0.102))
         )
     )
     (CELL
@@ -214,42 +20,6 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.108::0.116))
-            (SETUP C (posedge C) (0.031::0.033))
-            (HOLD REGRST (posedge C) (0.122::0.132))
-            (SETUP REGRST (posedge C) (0.158::0.172))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
         (CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
         (INSTANCE IDELAYE2)
         (DELAY
@@ -268,41 +38,61 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
         (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD C (posedge C) (0.128::0.138))
-            (SETUP C (posedge C) (0.094::0.102))
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LDPIPEEN (posedge C) (0.108::0.116))
+            (SETUP LDPIPEEN (posedge C) (0.031::0.033))
+            (HOLD REGRST (posedge C) (0.122::0.132))
+            (SETUP REGRST (posedge C) (0.158::0.172))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_RECOV")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (SETUP CK (posedge CK) (0.518::0.596))
+            (HOLD CE (posedge CK) (-0.076::-0.066))
+            (SETUP CE (posedge CK) (0.430::0.726))
+            (HOLD SR (posedge CK) (-0.077::-0.066))
+            (SETUP SR (posedge CK) (0.764::0.922))
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
+        (CELLTYPE "ILOGICE3_IFF_DDR")
         (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
-            )
+        (TIMINGCHECK
+            (HOLD CKB (posedge CKB) (-0.076::-0.066))
+            (SETUP CKB (posedge CKB) (0.430::0.726))
+            (HOLD D (posedge CK) (0.022::0.026))
+            (SETUP D (posedge CK) (0.091::0.105))
+            (HOLD CKB (posedge CKB) (0.022::0.026))
+            (SETUP CKB (posedge CKB) (0.091::0.105))
         )
     )
     (CELL
@@ -320,44 +110,10 @@
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_DDR")
+        (CELLTYPE "ILOGICE3_IFF_HOLD")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (HOLD CKB (posedge CKB) (-0.076::-0.066))
-            (SETUP CKB (posedge CKB) (0.430::0.726))
-            (HOLD D (posedge CK) (0.022::0.026))
-            (SETUP D (posedge CK) (0.091::0.105))
-            (HOLD CKB (posedge CKB) (0.022::0.026))
-            (SETUP CKB (posedge CKB) (0.091::0.105))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_REMOV")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.409::-0.357))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.076::-0.066))
-            (SETUP CK (posedge CK) (0.430::0.726))
-            (HOLD CK (posedge CK) (-0.077::-0.066))
-            (SETUP CK (posedge CK) (0.764::0.922))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+            (REMOVAL SR (posedge CK) (-0.409::-0.357))
         )
     )
     (CELL
@@ -387,4 +143,248 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SETUP")
+        (INSTANCE ILOGICE3)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CK) (0.518::0.596))
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.142::-0.124))
+            (RECOVERY SR (posedge CK) (0.261::0.300))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.651::0.798))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.351::0.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.059::-0.051))
+            (SETUP CK (posedge CK) (0.380::0.504))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.318::-0.277))
+            (RECOVERY SR (posedge CK) (0.249::0.286))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.471::0.591))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.707::0.885))
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.697::0.873))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.352::0.405))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/RIOI3_SING.sdf b/artix7/timings/RIOI3_SING.sdf
index f22fe8e..28404dd 100644
--- a/artix7/timings/RIOI3_SING.sdf
+++ b/artix7/timings/RIOI3_SING.sdf
@@ -4,205 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
+        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.059::-0.051))
-            (SETUP CK (posedge CK) (0.380::0.504))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.142::-0.124))
-            (RECOVERY CK (posedge CK) (0.261::0.300))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.651::0.798))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.697::0.873))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.352::0.405))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.351::0.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.707::0.885))
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.318::-0.277))
-            (RECOVERY CK (posedge CK) (0.249::0.286))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.471::0.591))
+            (HOLD C (posedge C) (0.128::0.138))
+            (SETUP C (posedge C) (0.094::0.102))
         )
     )
     (CELL
@@ -214,42 +20,6 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.108::0.116))
-            (SETUP C (posedge C) (0.031::0.033))
-            (HOLD REGRST (posedge C) (0.122::0.132))
-            (SETUP REGRST (posedge C) (0.158::0.172))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
         (CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
         (INSTANCE IDELAYE2)
         (DELAY
@@ -268,41 +38,61 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
         (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD C (posedge C) (0.128::0.138))
-            (SETUP C (posedge C) (0.094::0.102))
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LDPIPEEN (posedge C) (0.108::0.116))
+            (SETUP LDPIPEEN (posedge C) (0.031::0.033))
+            (HOLD REGRST (posedge C) (0.122::0.132))
+            (SETUP REGRST (posedge C) (0.158::0.172))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_RECOV")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (SETUP CK (posedge CK) (0.518::0.596))
+            (HOLD CE (posedge CK) (-0.076::-0.066))
+            (SETUP CE (posedge CK) (0.430::0.726))
+            (HOLD SR (posedge CK) (-0.077::-0.066))
+            (SETUP SR (posedge CK) (0.764::0.922))
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
+        (CELLTYPE "ILOGICE3_IFF_DDR")
         (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
-            )
+        (TIMINGCHECK
+            (HOLD CKB (posedge CKB) (-0.076::-0.066))
+            (SETUP CKB (posedge CKB) (0.430::0.726))
+            (HOLD D (posedge CK) (0.022::0.026))
+            (SETUP D (posedge CK) (0.091::0.105))
+            (HOLD CKB (posedge CKB) (0.022::0.026))
+            (SETUP CKB (posedge CKB) (0.091::0.105))
         )
     )
     (CELL
@@ -320,44 +110,10 @@
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_DDR")
+        (CELLTYPE "ILOGICE3_IFF_HOLD")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (HOLD CKB (posedge CKB) (-0.076::-0.066))
-            (SETUP CKB (posedge CKB) (0.430::0.726))
-            (HOLD D (posedge CK) (0.022::0.026))
-            (SETUP D (posedge CK) (0.091::0.105))
-            (HOLD CKB (posedge CKB) (0.022::0.026))
-            (SETUP CKB (posedge CKB) (0.091::0.105))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_REMOV")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.409::-0.357))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.076::-0.066))
-            (SETUP CK (posedge CK) (0.430::0.726))
-            (HOLD CK (posedge CK) (-0.077::-0.066))
-            (SETUP CK (posedge CK) (0.764::0.922))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+            (REMOVAL SR (posedge CK) (-0.409::-0.357))
         )
     )
     (CELL
@@ -387,4 +143,248 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SETUP")
+        (INSTANCE ILOGICE3)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CK) (0.518::0.596))
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.142::-0.124))
+            (RECOVERY SR (posedge CK) (0.261::0.300))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.651::0.798))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.351::0.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.059::-0.051))
+            (SETUP CK (posedge CK) (0.380::0.504))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.318::-0.277))
+            (RECOVERY SR (posedge CK) (0.249::0.286))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.471::0.591))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.707::0.885))
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.697::0.873))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.352::0.405))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/RIOI3_TBYTESRC.sdf b/artix7/timings/RIOI3_TBYTESRC.sdf
index f22fe8e..28404dd 100644
--- a/artix7/timings/RIOI3_TBYTESRC.sdf
+++ b/artix7/timings/RIOI3_TBYTESRC.sdf
@@ -4,205 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
+        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.059::-0.051))
-            (SETUP CK (posedge CK) (0.380::0.504))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.142::-0.124))
-            (RECOVERY CK (posedge CK) (0.261::0.300))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.651::0.798))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.697::0.873))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.352::0.405))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.351::0.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.707::0.885))
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.318::-0.277))
-            (RECOVERY CK (posedge CK) (0.249::0.286))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.471::0.591))
+            (HOLD C (posedge C) (0.128::0.138))
+            (SETUP C (posedge C) (0.094::0.102))
         )
     )
     (CELL
@@ -214,42 +20,6 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.108::0.116))
-            (SETUP C (posedge C) (0.031::0.033))
-            (HOLD REGRST (posedge C) (0.122::0.132))
-            (SETUP REGRST (posedge C) (0.158::0.172))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
         (CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
         (INSTANCE IDELAYE2)
         (DELAY
@@ -268,41 +38,61 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
         (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD C (posedge C) (0.128::0.138))
-            (SETUP C (posedge C) (0.094::0.102))
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LDPIPEEN (posedge C) (0.108::0.116))
+            (SETUP LDPIPEEN (posedge C) (0.031::0.033))
+            (HOLD REGRST (posedge C) (0.122::0.132))
+            (SETUP REGRST (posedge C) (0.158::0.172))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_RECOV")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (SETUP CK (posedge CK) (0.518::0.596))
+            (HOLD CE (posedge CK) (-0.076::-0.066))
+            (SETUP CE (posedge CK) (0.430::0.726))
+            (HOLD SR (posedge CK) (-0.077::-0.066))
+            (SETUP SR (posedge CK) (0.764::0.922))
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
+        (CELLTYPE "ILOGICE3_IFF_DDR")
         (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
-            )
+        (TIMINGCHECK
+            (HOLD CKB (posedge CKB) (-0.076::-0.066))
+            (SETUP CKB (posedge CKB) (0.430::0.726))
+            (HOLD D (posedge CK) (0.022::0.026))
+            (SETUP D (posedge CK) (0.091::0.105))
+            (HOLD CKB (posedge CKB) (0.022::0.026))
+            (SETUP CKB (posedge CKB) (0.091::0.105))
         )
     )
     (CELL
@@ -320,44 +110,10 @@
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_DDR")
+        (CELLTYPE "ILOGICE3_IFF_HOLD")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (HOLD CKB (posedge CKB) (-0.076::-0.066))
-            (SETUP CKB (posedge CKB) (0.430::0.726))
-            (HOLD D (posedge CK) (0.022::0.026))
-            (SETUP D (posedge CK) (0.091::0.105))
-            (HOLD CKB (posedge CKB) (0.022::0.026))
-            (SETUP CKB (posedge CKB) (0.091::0.105))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_REMOV")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.409::-0.357))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.076::-0.066))
-            (SETUP CK (posedge CK) (0.430::0.726))
-            (HOLD CK (posedge CK) (-0.077::-0.066))
-            (SETUP CK (posedge CK) (0.764::0.922))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+            (REMOVAL SR (posedge CK) (-0.409::-0.357))
         )
     )
     (CELL
@@ -387,4 +143,248 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SETUP")
+        (INSTANCE ILOGICE3)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CK) (0.518::0.596))
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.142::-0.124))
+            (RECOVERY SR (posedge CK) (0.261::0.300))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.651::0.798))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.351::0.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.059::-0.051))
+            (SETUP CK (posedge CK) (0.380::0.504))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.318::-0.277))
+            (RECOVERY SR (posedge CK) (0.249::0.286))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.471::0.591))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.707::0.885))
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.697::0.873))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.352::0.405))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/RIOI3_TBYTETERM.sdf b/artix7/timings/RIOI3_TBYTETERM.sdf
index f22fe8e..28404dd 100644
--- a/artix7/timings/RIOI3_TBYTETERM.sdf
+++ b/artix7/timings/RIOI3_TBYTETERM.sdf
@@ -4,205 +4,11 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
+        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.059::-0.051))
-            (SETUP CK (posedge CK) (0.380::0.504))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.142::-0.124))
-            (RECOVERY CK (posedge CK) (0.261::0.300))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.651::0.798))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.697::0.873))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-            (HOLD D2 (posedge CK) (-0.164::-0.143))
-            (SETUP D2 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.124::-0.108))
-            (SETUP CK (posedge CK) (0.380::0.482))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
-                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
-                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.352::0.405))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.068::-0.060))
-            (SETUP CK (posedge CK) (0.389::0.505))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_LAT")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
-                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.351::0.404))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_OUTFF_FF")
-        (INSTANCE OLOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
-                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
-            )
-        )
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.164::-0.143))
-            (SETUP D1 (posedge CK) (0.689::0.834))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF_DDR")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD D1 (posedge CK) (-0.302::-0.264))
-            (SETUP D1 (posedge CK) (0.707::0.885))
-            (HOLD D2 (posedge CK) (-0.302::-0.264))
-            (SETUP D2 (posedge CK) (0.622::0.788))
-        )
-    )
-    (CELL
-        (CELLTYPE "OLOGICE3_TFF")
-        (INSTANCE OLOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.318::-0.277))
-            (RECOVERY CK (posedge CK) (0.249::0.286))
-            (REMOVAL CK (posedge CK) (0.000::0.000))
-            (SETUP CK (posedge CK) (0.471::0.591))
+            (HOLD C (posedge C) (0.128::0.138))
+            (SETUP C (posedge C) (0.094::0.102))
         )
     )
     (CELL
@@ -214,42 +20,6 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.108::0.116))
-            (SETUP C (posedge C) (0.031::0.033))
-            (HOLD REGRST (posedge C) (0.122::0.132))
-            (SETUP REGRST (posedge C) (0.158::0.172))
-        )
-    )
-    (CELL
-        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
-        (INSTANCE IDELAYE2)
-        (TIMINGCHECK
-            (HOLD C (posedge C) (0.143::0.155))
-            (SETUP C (posedge C) (0.187::0.203))
-            (HOLD C (posedge C) (0.203::0.219))
-            (SETUP C (posedge C) (0.140::0.152))
-            (HOLD C (posedge C) (0.131::0.141))
-            (SETUP C (posedge C) (0.089::0.097))
-        )
-    )
-    (CELL
         (CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
         (INSTANCE IDELAYE2)
         (DELAY
@@ -268,41 +38,61 @@
         )
     )
     (CELL
-        (CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
         (INSTANCE IDELAYE2)
         (TIMINGCHECK
-            (HOLD C (posedge C) (0.128::0.138))
-            (SETUP C (posedge C) (0.094::0.102))
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LDPIPEEN (posedge C) (0.108::0.116))
+            (SETUP LDPIPEEN (posedge C) (0.031::0.033))
+            (HOLD REGRST (posedge C) (0.122::0.132))
+            (SETUP REGRST (posedge C) (0.158::0.172))
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_RECOV")
+        (CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
+        (INSTANCE IDELAYE2)
+        (TIMINGCHECK
+            (HOLD CE (posedge C) (0.143::0.155))
+            (SETUP CE (posedge C) (0.187::0.203))
+            (HOLD INC (posedge C) (0.203::0.219))
+            (SETUP INC (posedge C) (0.140::0.152))
+            (HOLD LD (posedge C) (0.131::0.141))
+            (SETUP LD (posedge C) (0.089::0.097))
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (SETUP CK (posedge CK) (0.518::0.596))
+            (HOLD CE (posedge CK) (-0.076::-0.066))
+            (SETUP CE (posedge CK) (0.430::0.726))
+            (HOLD SR (posedge CK) (-0.077::-0.066))
+            (SETUP SR (posedge CK) (0.764::0.922))
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
+        (CELLTYPE "ILOGICE3_IFF_DDR")
         (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
-            )
+        (TIMINGCHECK
+            (HOLD CKB (posedge CKB) (-0.076::-0.066))
+            (SETUP CKB (posedge CKB) (0.430::0.726))
+            (HOLD D (posedge CK) (0.022::0.026))
+            (SETUP D (posedge CK) (0.091::0.105))
+            (HOLD CKB (posedge CKB) (0.022::0.026))
+            (SETUP CKB (posedge CKB) (0.091::0.105))
         )
     )
     (CELL
@@ -320,44 +110,10 @@
         )
     )
     (CELL
-        (CELLTYPE "ILOGICE3_IFF_DDR")
+        (CELLTYPE "ILOGICE3_IFF_HOLD")
         (INSTANCE ILOGICE3)
         (TIMINGCHECK
-            (HOLD CKB (posedge CKB) (-0.076::-0.066))
-            (SETUP CKB (posedge CKB) (0.430::0.726))
-            (HOLD D (posedge CK) (0.022::0.026))
-            (SETUP D (posedge CK) (0.091::0.105))
-            (HOLD CKB (posedge CKB) (0.022::0.026))
-            (SETUP CKB (posedge CKB) (0.091::0.105))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_REMOV")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.409::-0.357))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF")
-        (INSTANCE ILOGICE3)
-        (TIMINGCHECK
-            (HOLD CK (posedge CK) (-0.076::-0.066))
-            (SETUP CK (posedge CK) (0.430::0.726))
-            (HOLD CK (posedge CK) (-0.077::-0.066))
-            (SETUP CK (posedge CK) (0.764::0.922))
-        )
-    )
-    (CELL
-        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
-        (INSTANCE ILOGICE3)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
-                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
-                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
-                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
-            )
+            (REMOVAL SR (posedge CK) (-0.409::-0.357))
         )
     )
     (CELL
@@ -387,4 +143,248 @@
             )
         )
     )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_PIPELINED")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
+                (IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
+                (IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
+                (IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "ILOGICE3_IFF_SETUP")
+        (INSTANCE ILOGICE3)
+        (TIMINGCHECK
+            (RECOVERY SR (posedge CK) (0.518::0.596))
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE ILOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+                (IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.142::-0.124))
+            (RECOVERY SR (posedge CK) (0.261::0.300))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.651::0.798))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.164::-0.143))
+            (SETUP D2 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.449::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.689::0.834))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH D1 Q (0.488::0.561)(0.904::1.040))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.164::-0.143))
+            (SETUP D1 (posedge CK) (0.351::0.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.059::-0.051))
+            (SETUP CK (posedge CK) (0.380::0.504))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.124::-0.108))
+            (SETUP CK (posedge CK) (0.380::0.482))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.177::0.204)(0.411::0.472))
+                (IOPATH SR Q (0.301::0.346)(0.821::0.945))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD SR (posedge CK) (-0.318::-0.277))
+            (RECOVERY SR (posedge CK) (0.249::0.286))
+            (REMOVAL SR (posedge CK) (0.000::0.000))
+            (SETUP SR (posedge CK) (0.471::0.591))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.707::0.885))
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD D2 (posedge CK) (-0.302::-0.264))
+            (SETUP D2 (posedge CK) (0.622::0.788))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_FF")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.697::0.873))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_LAT")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH D1 Q (0.513::0.590)(0.989::1.138))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+        (TIMINGCHECK
+            (HOLD D1 (posedge CK) (-0.302::-0.264))
+            (SETUP D1 (posedge CK) (0.352::0.405))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_OPPEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.192::0.221)(0.480::0.552))
+                (IOPATH SR Q (0.328::0.377)(0.916::1.054))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
+    (CELL
+        (CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
+        (INSTANCE OLOGICE3)
+        (TIMINGCHECK
+            (HOLD CK (posedge CK) (-0.068::-0.060))
+            (SETUP CK (posedge CK) (0.389::0.505))
+        )
+    )
 )
\ No newline at end of file
diff --git a/artix7/timings/slicel.sdf b/artix7/timings/slicel.sdf
index 1926d44..baa5a17 100644
--- a/artix7/timings/slicel.sdf
+++ b/artix7/timings/slicel.sdf
@@ -4,20 +4,708 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "CARRY4_BX_LFF")
+        (CELLTYPE "CARRY4_LBOTH")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O2 (0.146::0.182)(0.431::0.535))
+                (IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
+                (IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
             )
         )
         (DELAY
             (ABSOLUTE
+                (IOPATH CIN O1 (0.098::0.122)(0.28::0.348))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.25))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO0 (0.177::0.22)(0.466::0.578))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O2 (0.07::0.087)(0.206::0.256))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO2 (0.18::0.224)(0.497::0.617))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O3 (0.194::0.241)(0.53::0.657))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.6))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LBOTH")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O0 (0.057::0.084)(0.157::0.232))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO1 (0.051::0.063)(0.144::0.179))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O1 (0.097::0.121)(0.272::0.337))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO2 (0.075::0.094)(0.203::0.252))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO0 (0.184::0.229)(0.476::0.591))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O2 (0.072::0.089)(0.206::0.256))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.084::0.111)(0.214::0.281))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO2 (0.185::0.23)(0.51::0.632))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O3 (0.201::0.251)(0.547::0.678))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O2 (0.178::0.222)(0.495::0.614))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO1 (0.156::0.194)(0.44::0.546))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O0 (0.161::0.201)(0.419::0.52))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O1 (0.191::0.238)(0.519::0.644))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O3 (0.094::0.116)(0.267::0.331))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF_QH")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.288::0.358))
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF_QH")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.292::-0.238))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.314::0.389))
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O1 (0.09::0.112)(0.269::0.334))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO2 (0.064::0.08)(0.183::0.227))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.076::0.1)(0.204::0.268))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.64))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO1 (0.144::0.18)(0.395::0.491))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O3 (0.09::0.112)(0.25::0.311))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O0 (0.053::0.079)(0.147::0.218))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO1 (0.045::0.056)(0.125::0.155))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O1 (0.089::0.111)(0.26::0.323))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO2 (0.064::0.08)(0.184::0.228))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO0 (0.172::0.214)(0.45::0.558))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O2 (0.066::0.082)(0.192::0.239))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.073::0.097)(0.19::0.25))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO2 (0.173::0.215)(0.489::0.606))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O3 (0.196::0.244)(0.533::0.662))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O2 (0.172::0.214)(0.482::0.598))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO1 (0.15::0.187)(0.409::0.508))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O0 (0.158::0.197)(0.41::0.509))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O1 (0.184::0.229)(0.509::0.631))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O3 (0.091::0.113)(0.253::0.314))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_O5")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO2 (0.118::0.156)(0.349::0.459))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.071::0.094)(0.219::0.289))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O2 (0.11::0.146)(0.358::0.471))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.094::0.124)(0.256::0.337))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.088::0.116)(0.248::0.327))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.092::0.122)(0.286::0.376))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO3 (0.115::0.152)(0.336::0.443))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.129::0.171)(0.36::0.474))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.122::0.161)(0.369::0.486))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.143::0.189)(0.414::0.545))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO3 (0.129::0.171)(0.346::0.456))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.103::0.136)(0.301::0.396))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.098::0.129)(0.282::0.372))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO3 (0.088::0.116)(0.246::0.324))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.085::0.112)(0.25::0.329))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O3 (0.131::0.174)(0.404::0.532))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_O5")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO2 (0.118::0.156)(0.352::0.463))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.069::0.092)(0.222::0.292))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O2 (0.108::0.142)(0.357::0.47))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.092::0.122)(0.247::0.325))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.087::0.114)(0.232::0.305))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.091::0.121)(0.29::0.382))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO3 (0.108::0.142)(0.325::0.427))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.131::0.174)(0.366::0.482))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.123::0.162)(0.371::0.488))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.145::0.191)(0.418::0.55))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO3 (0.124::0.164)(0.338::0.445))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.105::0.138)(0.306::0.403))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.092::0.122)(0.279::0.367))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO3 (0.08::0.105)(0.227::0.299))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.084::0.111)(0.248::0.327))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O3 (0.13::0.172)(0.404::0.532))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.16::0.199)(0.435::0.54))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.164::0.204)(0.467::0.579))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.129::0.161)(0.34::0.422))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.187::0.233)(0.518::0.642))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.141::0.176)(0.394::0.488))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.117::0.146)(0.317::0.393))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO3 (0.169::0.21)(0.444::0.55))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.167::0.208)(0.454::0.564))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/B6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/A6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/D6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT6")
+        (INSTANCE SLICEL/C6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_BX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
                 (IOPATH DI1 CO2 (0.148::0.184)(0.417::0.517))
             )
         )
@@ -26,22 +714,22 @@
                 (IOPATH DI1 CO1 (0.123::0.153)(0.355::0.44))
             )
         )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O2 (0.146::0.182)(0.431::0.535))
+            )
+        )
     )
     (CELL
         (CELLTYPE "CARRY4_BX_LFF")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O2 (0.149::0.185)(0.44::0.546))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O3 (0.17::0.212)(0.492::0.61))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
                 (IOPATH DI1 CO2 (0.15::0.187)(0.426::0.529))
             )
         )
@@ -50,640 +738,14 @@
                 (IOPATH DI1 CO1 (0.124::0.155)(0.364::0.451))
             )
         )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX")
-        (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
+                (IOPATH DI1 O3 (0.17::0.212)(0.492::0.61))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 O3 (0.129::0.161)(0.36::0.447))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.101::0.126)(0.295::0.366))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO3 (0.119::0.148)(0.326::0.404))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.177::0.22)(0.496::0.615))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.113::0.14)(0.301::0.374))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LFF")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO1 (0.141::0.176)(0.391::0.485))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.186::0.232)(0.518::0.642))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.117::0.146)(0.311::0.386))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.165::0.205)(0.467::0.579))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.129::0.16)(0.339::0.421))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.165::0.206)(0.452::0.56))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX_LFF")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 O3 (0.129::0.161)(0.359::0.446))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.101::0.126)(0.293::0.363))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 CO3 (0.106::0.14)(0.286::0.376))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.165::0.206)(0.432::0.536))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 CO3 (0.146::0.192)(0.401::0.528))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O1 (0.091::0.113)(0.269::0.334))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O0 (0.06::0.079)(0.17::0.223))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O3 (0.09::0.112)(0.252::0.313))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O3 (0.19::0.236)(0.518::0.642))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S3 O3 (0.054::0.071)(0.172::0.227))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 CO2 (0.153::0.202)(0.417::0.548))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O1 (0.096::0.127)(0.304::0.4))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO1 (0.118::0.156)(0.329::0.433))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O3 (0.156::0.206)(0.442::0.582))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O2 (0.136::0.18)(0.398::0.523))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO3 (0.173::0.215)(0.467::0.58))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 O3 (0.09::0.119)(0.251::0.33))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO2 (0.144::0.19)(0.389::0.512))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 CO2 (0.072::0.095)(0.222::0.292))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O0 (0.147::0.183)(0.388::0.482))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO1 (0.144::0.18)(0.398::0.494))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S3 CO3 (0.106::0.14)(0.289::0.38))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 CO1 (0.126::0.166)(0.356::0.469))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O2 (0.143::0.189)(0.424::0.558))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O1 (0.176::0.219)(0.482::0.598))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O1 (0.056::0.074)(0.156::0.205))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O3 (0.163::0.215)(0.47::0.618))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO2 (0.065::0.081)(0.184::0.228))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO3 (0.142::0.187)(0.386::0.508))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO3 (0.039::0.049)(0.092::0.114))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 O2 (0.057::0.075)(0.171::0.226))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O2 (0.167::0.208)(0.471::0.584))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O0 (0.054::0.08)(0.15::0.222))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO0 (0.089::0.118)(0.258::0.34))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO1 (0.045::0.056)(0.127::0.157))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.169::0.21)(0.477::0.592))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.076::0.1)(0.206::0.271))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 CO3 (0.102::0.134)(0.272::0.358))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.172::0.214)(0.453::0.561))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 CO3 (0.143::0.189)(0.39::0.513))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O1 (0.09::0.112)(0.26::0.323))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O0 (0.06::0.079)(0.173::0.228))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O3 (0.092::0.114)(0.254::0.315))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O3 (0.197::0.245)(0.533::0.662))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S3 O3 (0.055::0.073)(0.177::0.233))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 CO2 (0.153::0.202)(0.421::0.554))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O1 (0.095::0.126)(0.306::0.403))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO1 (0.118::0.156)(0.33::0.434))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O3 (0.155::0.205)(0.444::0.584))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O2 (0.135::0.178)(0.395::0.52))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO3 (0.179::0.223)(0.48::0.595))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 O3 (0.092::0.122)(0.251::0.33))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO2 (0.144::0.19)(0.391::0.514))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O2 (0.066::0.082)(0.192::0.239))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 CO2 (0.072::0.096)(0.225::0.296))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O0 (0.158::0.197)(0.411::0.51))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO1 (0.15::0.187)(0.412::0.511))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S3 CO3 (0.1::0.132)(0.269::0.354))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 CO1 (0.126::0.166)(0.359::0.472))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O2 (0.142::0.188)(0.424::0.558))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O1 (0.185::0.23)(0.508::0.63))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O1 (0.057::0.075)(0.16::0.21))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O3 (0.163::0.215)(0.474::0.623))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO2 (0.066::0.082)(0.185::0.229))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO3 (0.135::0.179)(0.372::0.489))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO3 (0.04::0.05)(0.094::0.117))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 O2 (0.056::0.074)(0.173::0.228))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O2 (0.173::0.215)(0.485::0.602))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O0 (0.053::0.079)(0.148::0.219))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO0 (0.087::0.115)(0.258::0.34))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO1 (0.045::0.056)(0.127::0.157))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.175::0.217)(0.49::0.608))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.073::0.097)(0.193::0.254))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_DX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI3 CO3 (0.113::0.14)(0.31::0.385))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_DX")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI3 CO3 (0.117::0.146)(0.319::0.396))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH D Q (0.104::0.129)(0.282::0.35))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH SR Q (0.161::0.201)(0.645::0.8))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.288::0.358))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QH")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.279::0.347))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.292::-0.238))
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LBOTH")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.16::0.2)(0.462::0.573))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.42))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.17::0.211)(0.456::0.566))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LBOTH")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO1 (0.149::0.185)(0.412::0.511))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.191::0.238)(0.532::0.659))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.127::0.158)(0.353::0.438))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.168::0.209)(0.481::0.596))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.136::0.17)(0.351::0.436))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.177::0.22)(0.475::0.59))
+                (IOPATH DI1 O2 (0.149::0.185)(0.44::0.546))
             )
         )
     )
@@ -716,25 +778,394 @@
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_BX")
+        (CELLTYPE "CARRY4_AX_LBOTH")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
+                (IOPATH DI0 O2 (0.16::0.2)(0.462::0.573))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
+                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.42))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
+                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
             )
         )
         (DELAY
             (ABSOLUTE
+                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.17::0.211)(0.456::0.566))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LBOTH")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.168::0.209)(0.481::0.596))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.136::0.17)(0.351::0.436))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.191::0.238)(0.532::0.659))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.149::0.185)(0.412::0.511))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.127::0.158)(0.353::0.438))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.177::0.22)(0.475::0.59))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.129::0.161)(0.359::0.446))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.101::0.126)(0.293::0.363))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/A5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O5 (0.042::0.052)(0.121::0.15))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.122::0.152))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O5 (0.048::0.06)(0.095::0.118))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O5 (0.046::0.057)(0.121::0.15))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/B5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O5 (0.043::0.053)(0.122::0.152))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O5 (0.043::0.054)(0.122::0.152))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.045::0.056)(0.122::0.152))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O5 (0.045::0.056)(0.121::0.15))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/C5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O5 (0.051::0.063)(0.097::0.12))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT5")
+        (INSTANCE SLICEL/D5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O5 (0.042::0.052)(0.12::0.149))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O5 (0.043::0.054)(0.121::0.15))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.12::0.149))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O5 (0.044::0.055)(0.12::0.149))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QL")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.326::0.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QL")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.285::-0.232))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.314::0.389))
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F8MUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 OUT (0.08::0.1)(0.22::0.273))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F7BMUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 1 OUT (0.065::0.081)(0.18::0.223))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEM/F7AMUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.053::0.067)(0.152::0.189))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 OUT (0.09::0.112)(0.235::0.292))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 1 OUT (0.054::0.068)(0.153::0.19))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEL/F7AMUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.053::0.067)(0.153::0.19))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEM/F8MUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.022::0.027)(0.079::0.098))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 OUT (0.081::0.101)(0.228::0.283))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 1 OUT (0.019::0.024)(0.071::0.088))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEM/F7BMUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.064::0.08)(0.177::0.219))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 OUT (0.096::0.12)(0.253::0.314))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.129::0.16)(0.357::0.443))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CE Q (0.13::0.162)(0.409::0.507))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.132::0.164)(0.378::0.469))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CE Q (0.129::0.161)(0.415::0.514))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.075::0.094)(0.214::0.265))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_BX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
                 (IOPATH DI1 CO2 (0.15::0.186)(0.419::0.52))
             )
         )
@@ -743,27 +1174,27 @@
                 (IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
             )
         )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
+            )
+        )
     )
     (CELL
         (CELLTYPE "CARRY4_BX")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O2 (0.149::0.185)(0.441::0.547))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO3 (0.15::0.187)(0.419::0.52))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O3 (0.17::0.212)(0.492::0.61))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
                 (IOPATH DI1 CO2 (0.151::0.188)(0.43::0.533))
             )
         )
@@ -772,36 +1203,139 @@
                 (IOPATH DI1 CO1 (0.125::0.156)(0.367::0.456))
             )
         )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O3 (0.17::0.212)(0.492::0.61))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO3 (0.15::0.187)(0.419::0.52))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O2 (0.149::0.185)(0.441::0.547))
+            )
+        )
     )
     (CELL
-        (CELLTYPE "FF_INIT")
+        (CELLTYPE "CARRY4_AX_LFF")
         (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.177::0.22)(0.496::0.615))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.113::0.14)(0.301::0.374))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.165::0.205)(0.467::0.579))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.129::0.16)(0.339::0.421))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.186::0.232)(0.518::0.642))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.141::0.176)(0.391::0.485))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.117::0.146)(0.311::0.386))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.165::0.206)(0.452::0.56))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QH")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.288::0.358))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QH")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.292::-0.238))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.279::0.347))
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.112::0.139)(0.274::0.34))
+            )
+        )
         (TIMINGCHECK
                 (HOLD CE (posedge CLK) (-0.011::-0.009))
         )
         (TIMINGCHECK
                 (SETUP CE (posedge CLK) (0.088::0.109))
         )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
-            )
-        )
     )
     (CELL
-        (CELLTYPE "FF_INIT")
+        (CELLTYPE "REG_INIT_FF")
         (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.118::0.147)(0.292::0.362))
+            )
+        )
         (TIMINGCHECK
                 (HOLD CE (posedge CLK) (-0.007::-0.005))
         )
         (TIMINGCHECK
                 (SETUP CE (posedge CLK) (0.088::0.109))
         )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.102::0.127)(0.259::0.322))
-            )
-        )
     )
     (CELL
         (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
@@ -832,465 +1366,7 @@
         )
     )
     (CELL
-        (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/D6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/A6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/C6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT6")
-        (INSTANCE SLICEL/B6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEM/F7AMUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.053::0.067)(0.152::0.189))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 1 OUT (0.054::0.068)(0.153::0.19))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 OUT (0.09::0.112)(0.235::0.292))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F8MUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 OUT (0.08::0.1)(0.22::0.273))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEM/F7BMUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.08)(0.177::0.219))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 OUT (0.096::0.12)(0.253::0.314))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F7AMUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.053::0.067)(0.153::0.19))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEL/F7BMUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 1 OUT (0.065::0.081)(0.18::0.223))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEM/F8MUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.022::0.027)(0.079::0.098))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 1 OUT (0.019::0.024)(0.071::0.088))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 OUT (0.081::0.101)(0.228::0.283))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_O5")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO3 (0.115::0.152)(0.336::0.443))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.143::0.189)(0.414::0.545))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.085::0.112)(0.25::0.329))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O2 (0.11::0.146)(0.358::0.471))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO3 (0.088::0.116)(0.246::0.324))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.071::0.094)(0.219::0.289))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.094::0.124)(0.256::0.337))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O3 (0.131::0.174)(0.404::0.532))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.129::0.171)(0.36::0.474))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO2 (0.118::0.156)(0.349::0.459))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.092::0.122)(0.286::0.376))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO1 (0.103::0.136)(0.301::0.396))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 O3 (0.098::0.129)(0.282::0.372))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO3 (0.129::0.171)(0.346::0.456))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI3 CO3 (0.088::0.116)(0.248::0.327))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.122::0.161)(0.369::0.486))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_O5")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO3 (0.108::0.142)(0.325::0.427))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.145::0.191)(0.418::0.55))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.084::0.111)(0.248::0.327))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O2 (0.108::0.142)(0.357::0.47))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO3 (0.08::0.105)(0.227::0.299))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.069::0.092)(0.222::0.292))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.092::0.122)(0.247::0.325))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O3 (0.13::0.172)(0.404::0.532))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.131::0.174)(0.366::0.482))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO2 (0.118::0.156)(0.352::0.463))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.091::0.121)(0.29::0.382))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO1 (0.105::0.138)(0.306::0.403))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 O3 (0.092::0.122)(0.279::0.367))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO3 (0.124::0.164)(0.338::0.445))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI3 CO3 (0.087::0.114)(0.232::0.305))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.123::0.162)(0.371::0.488))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.288::0.358))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QH")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.314::0.389))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.292::-0.238))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QL")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.326::0.404))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QL")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.279::0.347))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.285::-0.232))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT")
+        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
@@ -1299,414 +1375,65 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CE Q (0.13::0.162)(0.409::0.507))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.129::0.16)(0.357::0.443))
+                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
             )
         )
     )
     (CELL
-        (CELLTYPE "REG_INIT_LAT")
+        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH D Q (0.075::0.094)(0.214::0.265))
+                (IOPATH D Q (0.104::0.129)(0.282::0.35))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CE Q (0.129::0.161)(0.415::0.514))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.132::0.164)(0.378::0.469))
+                (IOPATH SR Q (0.161::0.201)(0.645::0.8))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_LBOTH")
+        (CELLTYPE "CARRY4")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.177::0.22)(0.466::0.578))
+                (IOPATH S2 CO2 (0.072::0.095)(0.222::0.292))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN O1 (0.098::0.122)(0.28::0.348))
+                (IOPATH CIN CO1 (0.045::0.056)(0.127::0.157))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
+                (IOPATH S1 CO3 (0.146::0.192)(0.401::0.528))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
+                (IOPATH CIN O1 (0.091::0.113)(0.269::0.334))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O3 (0.194::0.241)(0.53::0.657))
+                (IOPATH S1 O1 (0.056::0.074)(0.156::0.205))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
+                (IOPATH CYINIT CO0 (0.165::0.206)(0.432::0.536))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.18::0.224)(0.497::0.617))
+                (IOPATH CYINIT CO3 (0.173::0.215)(0.467::0.58))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.25))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.6))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O2 (0.07::0.087)(0.206::0.256))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LBOTH")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.184::0.229)(0.476::0.591))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O1 (0.097::0.121)(0.272::0.337))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O0 (0.161::0.201)(0.419::0.52))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O3 (0.094::0.116)(0.267::0.331))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O3 (0.201::0.251)(0.547::0.678))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O1 (0.191::0.238)(0.519::0.644))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.185::0.23)(0.51::0.632))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO2 (0.075::0.094)(0.203::0.252))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O0 (0.057::0.084)(0.157::0.232))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O2 (0.178::0.222)(0.495::0.614))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.084::0.111)(0.214::0.281))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO1 (0.156::0.194)(0.44::0.546))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO1 (0.051::0.063)(0.144::0.179))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O2 (0.072::0.089)(0.206::0.256))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/A5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O5 (0.048::0.06)(0.095::0.118))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O5 (0.046::0.057)(0.121::0.15))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.122::0.152))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O5 (0.042::0.052)(0.121::0.15))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/D5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O5 (0.043::0.054)(0.121::0.15))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O5 (0.044::0.055)(0.12::0.149))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.12::0.149))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O5 (0.042::0.052)(0.12::0.149))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/B5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O5 (0.043::0.054)(0.122::0.152))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O5 (0.045::0.056)(0.121::0.15))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.045::0.056)(0.122::0.152))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O5 (0.043::0.053)(0.122::0.152))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT5")
-        (INSTANCE SLICEL/C5LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O5 (0.051::0.063)(0.097::0.12))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QL")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.326::0.404))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QL")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.314::0.389))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.285::-0.232))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-                (HOLD CE (posedge CLK) (-0.011::-0.009))
-        )
-        (TIMINGCHECK
-                (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.112::0.139)(0.274::0.34))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (HOLD CE (posedge CLK) (-0.007::-0.005))
-        )
-        (TIMINGCHECK
-                (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK Q (0.118::0.147)(0.292::0.362))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O1 (0.09::0.112)(0.269::0.334))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O3 (0.09::0.112)(0.25::0.311))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.64))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO2 (0.064::0.08)(0.183::0.227))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.076::0.1)(0.204::0.268))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO1 (0.144::0.18)(0.395::0.491))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
+                (IOPATH S2 O3 (0.09::0.119)(0.251::0.33))
             )
         )
         (DELAY
@@ -1714,73 +1441,183 @@
                 (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
             )
         )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 O2 (0.143::0.189)(0.424::0.558))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.076::0.1)(0.206::0.271))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 CO2 (0.153::0.202)(0.417::0.548))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O0 (0.06::0.079)(0.17::0.223))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO0 (0.089::0.118)(0.258::0.34))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 O3 (0.163::0.215)(0.47::0.618))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S2 O2 (0.057::0.075)(0.171::0.226))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO1 (0.118::0.156)(0.329::0.433))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO3 (0.039::0.049)(0.092::0.114))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O0 (0.054::0.08)(0.15::0.222))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S2 CO3 (0.106::0.14)(0.286::0.376))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S3 O3 (0.054::0.071)(0.172::0.227))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O1 (0.176::0.219)(0.482::0.598))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO2 (0.065::0.081)(0.184::0.228))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O2 (0.136::0.18)(0.398::0.523))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O1 (0.096::0.127)(0.304::0.4))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO3 (0.142::0.187)(0.386::0.508))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO2 (0.169::0.21)(0.477::0.592))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O3 (0.19::0.236)(0.518::0.642))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 CO1 (0.126::0.166)(0.356::0.469))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S3 CO3 (0.106::0.14)(0.289::0.38))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO1 (0.144::0.18)(0.398::0.494))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O3 (0.09::0.112)(0.252::0.313))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O0 (0.147::0.183)(0.388::0.482))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O2 (0.167::0.208)(0.471::0.584))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO2 (0.144::0.19)(0.389::0.512))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O3 (0.156::0.206)(0.442::0.582))
+            )
+        )
     )
     (CELL
-        (CELLTYPE "CARRY4_LFF")
+        (CELLTYPE "CARRY4")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.172::0.214)(0.45::0.558))
+                (IOPATH S2 CO2 (0.072::0.096)(0.225::0.296))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN O1 (0.089::0.111)(0.26::0.323))
+                (IOPATH CIN CO1 (0.045::0.056)(0.127::0.157))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O0 (0.158::0.197)(0.41::0.509))
+                (IOPATH S1 CO3 (0.143::0.189)(0.39::0.513))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN O3 (0.091::0.113)(0.253::0.314))
+                (IOPATH CIN O1 (0.09::0.112)(0.26::0.323))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O3 (0.196::0.244)(0.533::0.662))
+                (IOPATH S1 O1 (0.057::0.075)(0.16::0.21))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O1 (0.184::0.229)(0.509::0.631))
+                (IOPATH CYINIT CO0 (0.172::0.214)(0.453::0.561))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.173::0.215)(0.489::0.606))
+                (IOPATH CYINIT CO3 (0.179::0.223)(0.48::0.595))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN CO2 (0.064::0.08)(0.184::0.228))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O0 (0.053::0.079)(0.147::0.218))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O2 (0.172::0.214)(0.482::0.598))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.073::0.097)(0.19::0.25))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO1 (0.15::0.187)(0.409::0.508))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO1 (0.045::0.056)(0.125::0.155))
+                (IOPATH S2 O3 (0.092::0.122)(0.251::0.33))
             )
         )
         (DELAY
@@ -1788,82 +1625,207 @@
                 (IOPATH CIN O2 (0.066::0.082)(0.192::0.239))
             )
         )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 O2 (0.142::0.188)(0.424::0.558))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.073::0.097)(0.193::0.254))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 CO2 (0.153::0.202)(0.421::0.554))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O0 (0.06::0.079)(0.173::0.228))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO0 (0.087::0.115)(0.258::0.34))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 O3 (0.163::0.215)(0.474::0.623))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S2 O2 (0.056::0.074)(0.173::0.228))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO1 (0.118::0.156)(0.33::0.434))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO3 (0.04::0.05)(0.094::0.117))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O0 (0.053::0.079)(0.148::0.219))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S2 CO3 (0.102::0.134)(0.272::0.358))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S3 O3 (0.055::0.073)(0.177::0.233))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O1 (0.185::0.23)(0.508::0.63))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO2 (0.066::0.082)(0.185::0.229))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O2 (0.135::0.178)(0.395::0.52))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O1 (0.095::0.126)(0.306::0.403))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO3 (0.135::0.179)(0.372::0.489))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO2 (0.175::0.217)(0.49::0.608))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O3 (0.197::0.245)(0.533::0.662))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 CO1 (0.126::0.166)(0.359::0.472))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S3 CO3 (0.1::0.132)(0.269::0.354))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO1 (0.15::0.187)(0.412::0.511))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O3 (0.092::0.114)(0.254::0.315))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O0 (0.158::0.197)(0.411::0.51))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O2 (0.173::0.215)(0.485::0.602))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO2 (0.144::0.19)(0.391::0.514))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O3 (0.155::0.205)(0.444::0.584))
+            )
+        )
     )
     (CELL
-        (CELLTYPE "CARRY4_AX")
+        (CELLTYPE "FF_INIT")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
+                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
             )
         )
+        (TIMINGCHECK
+                (HOLD CE (posedge CLK) (-0.011::-0.009))
+        )
+        (TIMINGCHECK
+                (SETUP CE (posedge CLK) (0.088::0.109))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT")
+        (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
+                (IOPATH CLK Q (0.102::0.127)(0.259::0.322))
             )
         )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
-            )
+        (TIMINGCHECK
+                (HOLD CE (posedge CLK) (-0.007::-0.005))
         )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-            )
+        (TIMINGCHECK
+                (SETUP CE (posedge CLK) (0.088::0.109))
         )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
-            )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF_QL")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
         )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.16::0.199)(0.435::0.54))
-            )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.326::0.404))
         )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF_QL")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.285::-0.232))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.279::0.347))
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_DX")
+        (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
+                (IOPATH DI3 CO3 (0.113::0.14)(0.31::0.385))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_AX")
+        (CELLTYPE "CARRY4_DX")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO1 (0.141::0.176)(0.394::0.488))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.187::0.233)(0.518::0.642))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.117::0.146)(0.317::0.393))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.164::0.204)(0.467::0.579))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.129::0.161)(0.34::0.422))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.167::0.208)(0.454::0.564))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO3 (0.169::0.21)(0.444::0.55))
+                (IOPATH DI3 CO3 (0.117::0.146)(0.319::0.396))
             )
         )
     )
@@ -1872,16 +1834,6 @@
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O2 (0.15::0.186)(0.446::0.554))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O3 (0.169::0.21)(0.495::0.614))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
                 (IOPATH DI1 CO2 (0.16::0.199)(0.441::0.547))
             )
         )
@@ -1890,13 +1842,28 @@
                 (IOPATH DI1 CO1 (0.132::0.164)(0.376::0.467))
             )
         )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O3 (0.169::0.21)(0.495::0.614))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O2 (0.15::0.186)(0.446::0.554))
+            )
+        )
     )
     (CELL
         (CELLTYPE "CARRY4_BX_LBOTH")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O2 (0.151::0.188)(0.456::0.566))
+                (IOPATH DI1 CO2 (0.161::0.201)(0.451::0.559))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.134::0.166)(0.387::0.48))
             )
         )
         (DELAY
@@ -1906,12 +1873,45 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 CO2 (0.161::0.201)(0.451::0.559))
+                (IOPATH DI1 O2 (0.151::0.188)(0.456::0.566))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 CO1 (0.134::0.166)(0.387::0.48))
+                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.129::0.161)(0.36::0.447))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO3 (0.119::0.148)(0.326::0.404))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.101::0.126)(0.295::0.366))
             )
         )
     )
diff --git a/artix7/timings/slicem.sdf b/artix7/timings/slicem.sdf
index a85c0ad..bcdb02a 100644
--- a/artix7/timings/slicem.sdf
+++ b/artix7/timings/slicem.sdf
@@ -4,90 +4,76 @@
     (TIMESCALE 1ps)
 
     (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
+        (CELLTYPE "CARRY4_LBOTH")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH SR Q (0.161::0.201)(0.645::0.8))
+                (IOPATH CIN O0 (0.057::0.084)(0.157::0.232))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH D Q (0.104::0.129)(0.282::0.35))
+                (IOPATH CYINIT O1 (0.191::0.238)(0.519::0.644))
             )
         )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-                (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-        (TIMINGCHECK
-                (HOLD CE (posedge CLK) (-0.011::-0.009))
-        )
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
+                (IOPATH CYINIT O3 (0.201::0.251)(0.547::0.678))
             )
         )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-        (TIMINGCHECK
-                (HOLD CE (posedge CLK) (-0.007::-0.005))
-        )
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK Q (0.102::0.127)(0.259::0.322))
+                (IOPATH CYINIT CO0 (0.184::0.229)(0.476::0.591))
             )
         )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-                (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-        (TIMINGCHECK
-                (HOLD CE (posedge CLK) (-0.011::-0.009))
-        )
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK Q (0.112::0.139)(0.274::0.34))
+                (IOPATH CIN CO2 (0.075::0.094)(0.203::0.252))
             )
         )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (SETUP CE (posedge CLK) (0.088::0.109))
-        )
-        (TIMINGCHECK
-                (HOLD CE (posedge CLK) (-0.007::-0.005))
-        )
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK Q (0.118::0.147)(0.292::0.362))
+                (IOPATH CIN CO1 (0.051::0.063)(0.144::0.179))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.084::0.111)(0.214::0.281))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO2 (0.185::0.23)(0.51::0.632))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O1 (0.097::0.121)(0.272::0.337))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O2 (0.178::0.222)(0.495::0.614))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO1 (0.156::0.194)(0.44::0.546))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O2 (0.072::0.089)(0.206::0.256))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O3 (0.094::0.116)(0.267::0.331))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O0 (0.161::0.201)(0.419::0.52))
             )
         )
     )
@@ -106,17 +92,17 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.18::0.224)(0.497::0.617))
+                (IOPATH CYINIT O3 (0.194::0.241)(0.53::0.657))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN O1 (0.098::0.122)(0.28::0.348))
+                (IOPATH CYINIT CO0 (0.177::0.22)(0.466::0.578))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
+                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.25))
             )
         )
         (DELAY
@@ -131,12 +117,17 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.6))
+                (IOPATH CYINIT CO2 (0.18::0.224)(0.497::0.617))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O3 (0.194::0.241)(0.53::0.657))
+                (IOPATH CIN O1 (0.098::0.122)(0.28::0.348))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O2 (0.172::0.214)(0.483::0.6))
             )
         )
         (DELAY
@@ -146,155 +137,17 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.177::0.22)(0.466::0.578))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
                 (IOPATH CIN O2 (0.07::0.087)(0.206::0.256))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN CO2 (0.075::0.094)(0.201::0.25))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
                 (IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
             )
         )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_LBOTH")
-        (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN O0 (0.057::0.084)(0.157::0.232))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O1 (0.191::0.238)(0.519::0.644))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.185::0.23)(0.51::0.632))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O1 (0.097::0.121)(0.272::0.337))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O0 (0.161::0.201)(0.419::0.52))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO1 (0.051::0.063)(0.144::0.179))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.084::0.111)(0.214::0.281))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O2 (0.178::0.222)(0.495::0.614))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O3 (0.201::0.251)(0.547::0.678))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO1 (0.156::0.194)(0.44::0.546))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.184::0.229)(0.476::0.591))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O2 (0.072::0.089)(0.206::0.256))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO2 (0.075::0.094)(0.203::0.252))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O3 (0.094::0.116)(0.267::0.331))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QL")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.326::0.404))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QL")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.314::0.389))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.285::-0.232))
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.16::0.199)(0.435::0.54))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
+                (IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
             )
         )
     )
@@ -308,7 +161,7 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO2 (0.167::0.208)(0.454::0.564))
+                (IOPATH DI0 CO3 (0.169::0.21)(0.444::0.55))
             )
         )
         (DELAY
@@ -318,11 +171,6 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO3 (0.169::0.21)(0.444::0.55))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
                 (IOPATH DI0 CO0 (0.117::0.146)(0.317::0.393))
             )
         )
@@ -336,334 +184,96 @@
                 (IOPATH DI0 O1 (0.129::0.161)(0.34::0.422))
             )
         )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.167::0.208)(0.454::0.564))
+            )
+        )
     )
     (CELL
-        (CELLTYPE "CARRY4_AX_LBOTH")
+        (CELLTYPE "CARRY4_AX")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
+                (IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO2 (0.17::0.211)(0.456::0.566))
+                (IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 O2 (0.16::0.2)(0.462::0.573))
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.42))
+                (IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
+                (IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
+                (IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.16::0.199)(0.435::0.54))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_AX_LBOTH")
+        (CELLTYPE "CARRY4_BX_LBOTH")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 O3 (0.191::0.238)(0.532::0.659))
+                (IOPATH DI1 CO1 (0.134::0.166)(0.387::0.48))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO2 (0.177::0.22)(0.475::0.59))
+                (IOPATH DI1 O3 (0.173::0.215)(0.507::0.629))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 O2 (0.168::0.209)(0.481::0.596))
+                (IOPATH DI1 O2 (0.151::0.188)(0.456::0.566))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 O1 (0.136::0.17)(0.351::0.436))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.127::0.158)(0.353::0.438))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO1 (0.149::0.185)(0.412::0.511))
+                (IOPATH DI1 CO2 (0.161::0.201)(0.451::0.559))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_BX")
+        (CELLTYPE "CARRY4_BX_LBOTH")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 CO2 (0.15::0.186)(0.419::0.52))
+                (IOPATH DI1 CO1 (0.132::0.164)(0.376::0.467))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
+                (IOPATH DI1 O3 (0.169::0.21)(0.495::0.614))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
+                (IOPATH DI1 O2 (0.15::0.186)(0.446::0.554))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_BX")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO2 (0.151::0.188)(0.43::0.533))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O2 (0.149::0.185)(0.441::0.547))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.125::0.156)(0.367::0.456))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO3 (0.15::0.187)(0.419::0.52))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O3 (0.17::0.212)(0.492::0.61))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.288::0.358))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QH")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.314::0.389))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.292::-0.238))
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 CO2 (0.153::0.202)(0.417::0.548))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O0 (0.054::0.08)(0.15::0.222))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO3 (0.173::0.215)(0.467::0.58))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O0 (0.147::0.183)(0.388::0.482))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O1 (0.091::0.113)(0.269::0.334))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O1 (0.096::0.127)(0.304::0.4))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O3 (0.19::0.236)(0.518::0.642))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S3 O3 (0.054::0.071)(0.172::0.227))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 O2 (0.057::0.075)(0.171::0.226))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO1 (0.045::0.056)(0.127::0.157))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.076::0.1)(0.206::0.271))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O2 (0.167::0.208)(0.471::0.584))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O0 (0.06::0.079)(0.17::0.223))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO1 (0.144::0.18)(0.398::0.494))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 O3 (0.09::0.119)(0.251::0.33))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 CO1 (0.126::0.166)(0.356::0.469))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 CO3 (0.146::0.192)(0.401::0.528))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO3 (0.142::0.187)(0.386::0.508))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O1 (0.056::0.074)(0.156::0.205))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO2 (0.144::0.19)(0.389::0.512))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O1 (0.176::0.219)(0.482::0.598))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O2 (0.143::0.189)(0.424::0.558))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO1 (0.118::0.156)(0.329::0.433))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 CO2 (0.072::0.095)(0.222::0.292))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 CO3 (0.106::0.14)(0.286::0.376))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO3 (0.039::0.049)(0.092::0.114))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O2 (0.136::0.18)(0.398::0.523))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O3 (0.156::0.206)(0.442::0.582))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S3 CO3 (0.106::0.14)(0.289::0.38))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.169::0.21)(0.477::0.592))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.165::0.206)(0.432::0.536))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O3 (0.163::0.215)(0.47::0.618))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO0 (0.089::0.118)(0.258::0.34))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO2 (0.065::0.081)(0.184::0.228))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O3 (0.09::0.112)(0.252::0.313))
+                (IOPATH DI1 CO2 (0.16::0.199)(0.441::0.547))
             )
         )
     )
@@ -672,32 +282,12 @@
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH S1 CO2 (0.153::0.202)(0.421::0.554))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
                 (IOPATH CIN O0 (0.053::0.079)(0.148::0.219))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT CO3 (0.179::0.223)(0.48::0.595))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O0 (0.158::0.197)(0.411::0.51))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O1 (0.09::0.112)(0.26::0.323))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 O1 (0.095::0.126)(0.306::0.403))
+                (IOPATH CYINIT O1 (0.185::0.23)(0.508::0.63))
             )
         )
         (DELAY
@@ -707,12 +297,12 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH S3 O3 (0.055::0.073)(0.177::0.233))
+                (IOPATH CYINIT CO0 (0.172::0.214)(0.453::0.561))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH S2 O2 (0.056::0.074)(0.173::0.228))
+                (IOPATH S2 O3 (0.092::0.122)(0.251::0.33))
             )
         )
         (DELAY
@@ -727,77 +317,17 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O2 (0.173::0.215)(0.485::0.602))
+                (IOPATH S1 CO2 (0.153::0.202)(0.421::0.554))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH S0 O0 (0.06::0.079)(0.173::0.228))
+                (IOPATH S0 O1 (0.095::0.126)(0.306::0.403))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT CO1 (0.15::0.187)(0.412::0.511))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 O3 (0.092::0.122)(0.251::0.33))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 CO1 (0.126::0.166)(0.359::0.472))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 CO3 (0.143::0.189)(0.39::0.513))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO3 (0.135::0.179)(0.372::0.489))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O1 (0.057::0.075)(0.16::0.21))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO2 (0.144::0.19)(0.391::0.514))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O1 (0.185::0.23)(0.508::0.63))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O2 (0.142::0.188)(0.424::0.558))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO1 (0.118::0.156)(0.33::0.434))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 CO2 (0.072::0.096)(0.225::0.296))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O2 (0.066::0.082)(0.192::0.239))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S2 CO3 (0.102::0.134)(0.272::0.358))
+                (IOPATH S2 O2 (0.056::0.074)(0.173::0.228))
             )
         )
         (DELAY
@@ -812,7 +342,37 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH S0 O3 (0.155::0.205)(0.444::0.584))
+                (IOPATH CIN O1 (0.09::0.112)(0.26::0.323))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 CO3 (0.143::0.189)(0.39::0.513))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO1 (0.15::0.187)(0.412::0.511))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S2 CO3 (0.102::0.134)(0.272::0.358))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O3 (0.092::0.114)(0.254::0.315))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O0 (0.158::0.197)(0.411::0.51))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO1 (0.118::0.156)(0.33::0.434))
             )
         )
         (DELAY
@@ -822,22 +382,7 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.175::0.217)(0.49::0.608))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.172::0.214)(0.453::0.561))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S1 O3 (0.163::0.215)(0.474::0.623))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 CO0 (0.087::0.115)(0.258::0.34))
+                (IOPATH CYINIT CO3 (0.179::0.223)(0.48::0.595))
             )
         )
         (DELAY
@@ -847,785 +392,350 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN O3 (0.092::0.114)(0.254::0.315))
+                (IOPATH S2 CO2 (0.072::0.096)(0.225::0.296))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 O1 (0.057::0.075)(0.16::0.21))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 O3 (0.163::0.215)(0.474::0.623))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO3 (0.135::0.179)(0.372::0.489))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO2 (0.175::0.217)(0.49::0.608))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S3 O3 (0.055::0.073)(0.177::0.233))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO2 (0.144::0.19)(0.391::0.514))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O3 (0.155::0.205)(0.444::0.584))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O0 (0.06::0.079)(0.173::0.228))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO0 (0.087::0.115)(0.258::0.34))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O2 (0.173::0.215)(0.485::0.602))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 CO1 (0.126::0.166)(0.359::0.472))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O2 (0.066::0.082)(0.192::0.239))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 O2 (0.142::0.188)(0.424::0.558))
             )
         )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.248::0.208))
-        )
-        (TIMINGCHECK
-                (SETUP WA5 (posedge CLK) (0.236::0.245))
-        )
-        (TIMINGCHECK
-                (HOLD WA5 (posedge CLK) (0.332::0.314))
-        )
-        (TIMINGCHECK
-                (HOLD WA2 (posedge CLK) (0.745::0.572))
-        )
-        (TIMINGCHECK
-                (SETUP WA2 (posedge CLK) (0.181::0.068))
-        )
-        (TIMINGCHECK
-                (SETUP WE (posedge CLK) (0.527::0.654))
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.507::0.411))
-        )
-        (TIMINGCHECK
-                (HOLD WE (posedge CLK) (0.008::0.01))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/D5LUT)
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.111::0.137))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O5 (0.048::0.059)(0.12::0.149))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.047::0.058)(0.126::0.156))
-            )
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.153::0.19))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O5 (0.352::0.438)(0.96::1.19))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O5 (0.044::0.055)(0.121::0.15))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O5 (0.046::0.057)(0.127::0.157))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/B5LUT)
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.25::0.311))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O5 (0.048::0.06)(0.094::0.117))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O5 (0.047::0.058)(0.121::0.15))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.045::0.056)(0.122::0.152))
-            )
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.154::0.191))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O5 (0.348::0.434)(0.957::1.187))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O5 (0.043::0.053)(0.121::0.15))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/C5LUT)
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.243::0.301))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O5 (0.049::0.061)(0.123::0.153))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.123::0.153))
-            )
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.152::0.189))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O5 (0.344::0.428)(0.952::1.18))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O5 (0.043::0.053)(0.123::0.153))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O5 (0.045::0.056)(0.123::0.153))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5LRAM")
-        (INSTANCE SLICEM/A5LUT)
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.231::0.287))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O5 (0.048::0.06)(0.093::0.116))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O5 (0.046::0.057)(0.121::0.15))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O5 (0.044::0.055)(0.121::0.15))
-            )
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.155::0.192))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O5 (0.446::0.555)(0.958::1.188))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O5 (0.043::0.053)(0.12::0.148))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O5 (0.044::0.055)(0.118::0.146))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6SHFREG")
-        (INSTANCE SLICEM/C6LUT)
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.117::0.145))
-        )
-        (TIMINGCHECK
-                (HOLD DI2 (posedge CLK) (0.062::0.077))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK MC31 (0.285::0.355)(0.784::0.972))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O6 (0.436::0.543)(1.169::1.45))
-            )
-        )
-        (TIMINGCHECK
-                (SETUP DI2 (posedge CLK) (0.116::0.144))
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.058::0.072))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6SHFREG")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (SETUP WE (posedge CLK) (0.514::0.638))
-        )
-        (TIMINGCHECK
-                (HOLD WE (posedge CLK) (0.011::0.014))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6SHFREG")
-        (INSTANCE SLICEM/A6LUT)
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.137::0.17))
-        )
-        (TIMINGCHECK
-                (HOLD DI2 (posedge CLK) (0.076::0.094))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK MC31 (0.332::0.414)(0.898::1.114))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O6 (0.444::0.553)(1.186::1.472))
-            )
-        )
-        (TIMINGCHECK
-                (SETUP DI2 (posedge CLK) (0.14::0.173))
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.075::0.093))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6SHFREG")
-        (INSTANCE SLICEM/D6LUT)
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (-0.04::-0.033))
-        )
-        (TIMINGCHECK
-                (HOLD DI2 (posedge CLK) (0.091::0.112))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK MC31 (0.286::0.357)(0.788::0.978))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O6 (0.438::0.545)(1.174::1.456))
-            )
-        )
-        (TIMINGCHECK
-                (SETUP DI2 (posedge CLK) (0.133::0.165))
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.217::0.269))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6SHFREG")
-        (INSTANCE SLICEM/B6LUT)
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.126::0.156))
-        )
-        (TIMINGCHECK
-                (HOLD DI2 (posedge CLK) (0.089::0.11))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK MC31 (0.286::0.356)(0.789::0.979))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O6 (0.438::0.545)(1.176::1.458))
-            )
-        )
-        (TIMINGCHECK
-                (SETUP DI2 (posedge CLK) (0.143::0.178))
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.07::0.087))
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX_LBOTH")
+        (CELLTYPE "CARRY4")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
+                (IOPATH CIN O0 (0.054::0.08)(0.15::0.222))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 O3 (0.129::0.16)(0.366::0.455))
+                (IOPATH CYINIT O1 (0.176::0.219)(0.482::0.598))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O3 (0.19::0.236)(0.518::0.642))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO0 (0.165::0.206)(0.432::0.536))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S2 O3 (0.09::0.119)(0.251::0.33))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO1 (0.045::0.056)(0.127::0.157))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO0 (0.076::0.1)(0.206::0.271))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 CO2 (0.153::0.202)(0.417::0.548))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O1 (0.096::0.127)(0.304::0.4))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S2 O2 (0.057::0.075)(0.171::0.226))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO3 (0.039::0.049)(0.092::0.114))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O2 (0.136::0.18)(0.398::0.523))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O1 (0.091::0.113)(0.269::0.334))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 CO3 (0.146::0.192)(0.401::0.528))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO1 (0.144::0.18)(0.398::0.494))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S2 CO3 (0.106::0.14)(0.286::0.376))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O3 (0.09::0.112)(0.252::0.313))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O0 (0.147::0.183)(0.388::0.482))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO1 (0.118::0.156)(0.329::0.433))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S3 CO3 (0.106::0.14)(0.289::0.38))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO3 (0.173::0.215)(0.467::0.58))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN CO2 (0.065::0.081)(0.184::0.228))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S2 CO2 (0.072::0.095)(0.222::0.292))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 O1 (0.056::0.074)(0.156::0.205))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 O3 (0.163::0.215)(0.47::0.618))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO3 (0.142::0.187)(0.386::0.508))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT CO2 (0.169::0.21)(0.477::0.592))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S3 O3 (0.054::0.071)(0.172::0.227))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO2 (0.144::0.19)(0.389::0.512))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O3 (0.156::0.206)(0.442::0.582))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 O0 (0.06::0.079)(0.17::0.223))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 CO0 (0.089::0.118)(0.258::0.34))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O2 (0.167::0.208)(0.471::0.584))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 CO1 (0.126::0.166)(0.356::0.469))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S1 O2 (0.143::0.189)(0.424::0.558))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_CX_LBOTH")
+        (CELLTYPE "REG_INIT_FF_QH")
         (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.108::0.134)(0.315::0.391))
-            )
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.292::-0.238))
         )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 O3 (0.132::0.164)(0.373::0.463))
-            )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.314::0.389))
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_BX_LBOTH")
+        (CELLTYPE "REG_INIT_FF_QH")
         (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO2 (0.16::0.199)(0.441::0.547))
-            )
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
         )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O2 (0.15::0.186)(0.446::0.554))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.132::0.164)(0.376::0.467))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O3 (0.169::0.21)(0.495::0.614))
-            )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.288::0.358))
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_BX_LBOTH")
+        (CELLTYPE "CARRY4_LFF")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 CO2 (0.161::0.201)(0.451::0.559))
+                (IOPATH CIN O0 (0.053::0.079)(0.147::0.218))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O2 (0.151::0.188)(0.456::0.566))
+                (IOPATH CYINIT O1 (0.184::0.229)(0.509::0.631))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 CO1 (0.134::0.166)(0.387::0.48))
+                (IOPATH CYINIT O3 (0.196::0.244)(0.533::0.662))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O3 (0.173::0.215)(0.507::0.629))
+                (IOPATH CYINIT CO0 (0.172::0.214)(0.45::0.558))
             )
         )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_O5")
-        (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 CO2 (0.118::0.156)(0.349::0.459))
+                (IOPATH CIN CO2 (0.064::0.08)(0.184::0.228))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI2 O3 (0.098::0.129)(0.282::0.372))
+                (IOPATH CIN CO1 (0.045::0.056)(0.125::0.155))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 O2 (0.122::0.161)(0.369::0.486))
+                (IOPATH CIN CO0 (0.073::0.097)(0.19::0.25))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO2 (0.129::0.171)(0.36::0.474))
+                (IOPATH CYINIT CO2 (0.173::0.215)(0.489::0.606))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O2 (0.11::0.146)(0.358::0.471))
+                (IOPATH CIN O1 (0.089::0.111)(0.26::0.323))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO3 (0.129::0.171)(0.346::0.456))
+                (IOPATH CYINIT O2 (0.172::0.214)(0.482::0.598))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI0 CO1 (0.103::0.136)(0.301::0.396))
+                (IOPATH CYINIT CO1 (0.15::0.187)(0.409::0.508))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 CO1 (0.092::0.122)(0.286::0.376))
+                (IOPATH CIN O2 (0.066::0.082)(0.192::0.239))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI1 O3 (0.131::0.174)(0.404::0.532))
+                (IOPATH CIN O3 (0.091::0.113)(0.253::0.314))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH DI3 CO3 (0.088::0.116)(0.248::0.327))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO3 (0.088::0.116)(0.246::0.324))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.143::0.189)(0.414::0.545))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.071::0.094)(0.219::0.289))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.094::0.124)(0.256::0.337))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.085::0.112)(0.25::0.329))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO3 (0.115::0.152)(0.336::0.443))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_O5")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO2 (0.118::0.156)(0.352::0.463))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 O3 (0.092::0.122)(0.279::0.367))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.123::0.162)(0.371::0.488))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.131::0.174)(0.366::0.482))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O2 (0.108::0.142)(0.357::0.47))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO3 (0.124::0.164)(0.338::0.445))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO1 (0.105::0.138)(0.306::0.403))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.091::0.121)(0.29::0.382))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O3 (0.13::0.172)(0.404::0.532))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI3 CO3 (0.087::0.114)(0.232::0.305))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO3 (0.08::0.105)(0.227::0.299))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.145::0.191)(0.418::0.55))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.069::0.092)(0.222::0.292))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.092::0.122)(0.247::0.325))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.084::0.111)(0.248::0.327))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO3 (0.108::0.142)(0.325::0.427))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.177::0.22)(0.496::0.615))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.113::0.14)(0.301::0.374))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_AX_LFF")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O3 (0.186::0.232)(0.518::0.642))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO2 (0.165::0.206)(0.452::0.56))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O2 (0.165::0.205)(0.467::0.579))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 O1 (0.129::0.16)(0.339::0.421))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO0 (0.117::0.146)(0.311::0.386))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI0 CO1 (0.141::0.176)(0.391::0.485))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX_LFF")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.101::0.126)(0.293::0.363))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 O3 (0.129::0.161)(0.359::0.446))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_CX")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO2 (0.101::0.126)(0.295::0.366))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 CO3 (0.119::0.148)(0.326::0.404))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI2 O3 (0.129::0.161)(0.36::0.447))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (SETUP WE (posedge CLK) (0.514::0.638))
-        )
-        (TIMINGCHECK
-                (HOLD WE (posedge CLK) (0.011::0.014))
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/D5LUT)
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.217::0.269))
-        )
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (-0.04::-0.033))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O5 (0.441::0.549)(1.194::1.481))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/B5LUT)
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.07::0.087))
-        )
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.126::0.156))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O5 (0.439::0.547)(1.19::1.476))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/C5LUT)
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.058::0.072))
-        )
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.117::0.145))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O5 (0.442::0.55)(1.178::1.462))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM5SHFREG")
-        (INSTANCE SLICEM/A5LUT)
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.075::0.093))
-        )
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.137::0.17))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O5 (0.442::0.55)(1.177::1.461))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH SR Q (0.161::0.201)(0.645::0.8))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH D Q (0.104::0.129)(0.282::0.35))
+                (IOPATH CYINIT O0 (0.158::0.197)(0.41::0.509))
             )
         )
     )
@@ -1644,17 +754,17 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
+                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.64))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN O1 (0.09::0.112)(0.269::0.334))
+                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
+                (IOPATH CIN CO2 (0.064::0.08)(0.183::0.227))
             )
         )
         (DELAY
@@ -1669,12 +779,17 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
+                (IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT O3 (0.189::0.235)(0.516::0.64))
+                (IOPATH CIN O1 (0.09::0.112)(0.269::0.334))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
             )
         )
         (DELAY
@@ -1684,139 +799,226 @@
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
                 (IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN CO2 (0.064::0.08)(0.183::0.227))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
                 (IOPATH CIN O3 (0.09::0.112)(0.25::0.311))
             )
         )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
+            )
+        )
     )
     (CELL
-        (CELLTYPE "CARRY4_LFF")
+        (CELLTYPE "LUT_OR_MEM5LRAM")
+        (INSTANCE SLICEM/B5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O5 (0.043::0.053)(0.121::0.15))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O5 (0.044::0.055)(0.122::0.152))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.154::0.191))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O5 (0.348::0.434)(0.957::1.187))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O5 (0.048::0.06)(0.094::0.117))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.045::0.056)(0.122::0.152))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O5 (0.047::0.058)(0.121::0.15))
+            )
+        )
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.25::0.311))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5LRAM")
+        (INSTANCE SLICEM/D5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O5 (0.044::0.055)(0.121::0.15))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O5 (0.046::0.057)(0.127::0.157))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.153::0.19))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O5 (0.352::0.438)(0.96::1.19))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O5 (0.049::0.061)(0.096::0.119))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.047::0.058)(0.126::0.156))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O5 (0.048::0.059)(0.12::0.149))
+            )
+        )
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.111::0.137))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5LRAM")
+        (INSTANCE SLICEM/C5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O5 (0.043::0.053)(0.123::0.153))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O5 (0.045::0.056)(0.123::0.153))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.152::0.189))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O5 (0.344::0.428)(0.952::1.18))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.123::0.153))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O5 (0.049::0.061)(0.123::0.153))
+            )
+        )
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.243::0.301))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5LRAM")
+        (INSTANCE SLICEM/A5LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O5 (0.043::0.053)(0.12::0.148))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O5 (0.044::0.055)(0.118::0.146))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.155::0.192))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O5 (0.446::0.555)(0.958::1.188))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O5 (0.048::0.06)(0.093::0.116))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O5 (0.044::0.055)(0.121::0.15))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O5 (0.046::0.057)(0.121::0.15))
+            )
+        )
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.231::0.287))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5LRAM")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+                (SETUP WA4 (posedge CLK) (0.248::0.208))
+        )
+        (TIMINGCHECK
+                (SETUP WA1 (posedge CLK) (0.184::0.066))
+        )
+        (TIMINGCHECK
+                (HOLD WA2 (posedge CLK) (0.745::0.572))
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.008::0.01))
+        )
+        (TIMINGCHECK
+                (SETUP WA3 (posedge CLK) (0.214::0.147))
+        )
+        (TIMINGCHECK
+                (HOLD WA4 (posedge CLK) (0.507::0.411))
+        )
+        (TIMINGCHECK
+                (HOLD WA5 (posedge CLK) (0.332::0.314))
+        )
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.527::0.654))
+        )
+        (TIMINGCHECK
+                (SETUP WA2 (posedge CLK) (0.181::0.068))
+        )
+        (TIMINGCHECK
+                (HOLD WA1 (posedge CLK) (0.728::0.538))
+        )
+        (TIMINGCHECK
+                (HOLD WA3 (posedge CLK) (0.579::0.46))
+        )
+        (TIMINGCHECK
+                (SETUP WA5 (posedge CLK) (0.236::0.245))
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_BX")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH CIN O0 (0.053::0.079)(0.147::0.218))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O1 (0.184::0.229)(0.509::0.631))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO2 (0.173::0.215)(0.489::0.606))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O1 (0.089::0.111)(0.26::0.323))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O0 (0.158::0.197)(0.41::0.509))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO1 (0.045::0.056)(0.125::0.155))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO0 (0.073::0.097)(0.19::0.25))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O2 (0.172::0.214)(0.482::0.598))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT O3 (0.196::0.244)(0.533::0.662))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO1 (0.15::0.187)(0.409::0.508))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CYINIT CO0 (0.172::0.214)(0.45::0.558))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O2 (0.066::0.082)(0.192::0.239))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN CO2 (0.064::0.08)(0.184::0.228))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CIN O3 (0.091::0.113)(0.253::0.314))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_BX_LFF")
-        (INSTANCE SLICEL)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO2 (0.148::0.184)(0.417::0.517))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O2 (0.146::0.182)(0.431::0.535))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.123::0.153)(0.355::0.44))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_BX_LFF")
-        (INSTANCE SLICEM)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO2 (0.15::0.187)(0.426::0.529))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 O2 (0.149::0.185)(0.44::0.546))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH DI1 CO1 (0.124::0.155)(0.364::0.451))
+                (IOPATH DI1 CO1 (0.125::0.156)(0.367::0.456))
             )
         )
         (DELAY
@@ -1824,42 +1026,373 @@
                 (IOPATH DI1 O3 (0.17::0.212)(0.492::0.61))
             )
         )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O2 (0.149::0.185)(0.441::0.547))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO3 (0.15::0.187)(0.419::0.52))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO2 (0.151::0.188)(0.43::0.533))
+            )
+        )
     )
     (CELL
-        (CELLTYPE "REG_INIT_LAT")
+        (CELLTYPE "CARRY4_BX")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+                (IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CE Q (0.13::0.162)(0.409::0.507))
+                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK Q (0.129::0.16)(0.357::0.443))
+                (IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO2 (0.15::0.186)(0.419::0.52))
             )
         )
     )
     (CELL
-        (CELLTYPE "REG_INIT_LAT")
+        (CELLTYPE "LUT_OR_MEM6LRAM")
+        (INSTANCE SLICEM/A6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.366::0.453))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.155::0.192))
+        )
+        (TIMINGCHECK
+                (SETUP DI2 (posedge CLK) (0.309::0.384))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O6 (0.432::0.538)(0.93::1.153))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD DI2 (posedge CLK) (0.098::0.122))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6LRAM")
+        (INSTANCE SLICEM/D6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.25::0.31))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.153::0.19))
+        )
+        (TIMINGCHECK
+                (SETUP DI2 (posedge CLK) (0.269::0.334))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O6 (0.347::0.432)(0.938::1.163))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD DI2 (posedge CLK) (0.106::0.132))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6LRAM")
+        (INSTANCE SLICEM/C6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.368::0.457))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.152::0.189))
+        )
+        (TIMINGCHECK
+                (SETUP DI2 (posedge CLK) (0.302::0.375))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O6 (0.34::0.423)(0.933::1.158))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD DI2 (posedge CLK) (0.091::0.113))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6LRAM")
+        (INSTANCE SLICEM/B6LUT)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.372::0.461))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.154::0.191))
+        )
+        (TIMINGCHECK
+                (SETUP DI2 (posedge CLK) (0.286::0.354))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O6 (0.342::0.425)(0.936::1.161))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD DI2 (posedge CLK) (0.107::0.133))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6LRAM")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+                (SETUP WA4 (posedge CLK) (0.248::0.208))
+        )
+        (TIMINGCHECK
+                (SETUP WA1 (posedge CLK) (0.184::0.066))
+        )
+        (TIMINGCHECK
+                (HOLD WA7 (posedge CLK) (0.184::0.228))
+        )
+        (TIMINGCHECK
+                (HOLD WA2 (posedge CLK) (0.745::0.572))
+        )
+        (TIMINGCHECK
+                (SETUP WA7 (posedge CLK) (0.496::0.616))
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.008::0.01))
+        )
+        (TIMINGCHECK
+                (SETUP WA3 (posedge CLK) (0.214::0.147))
+        )
+        (TIMINGCHECK
+                (HOLD WA4 (posedge CLK) (0.507::0.411))
+        )
+        (TIMINGCHECK
+                (HOLD WA5 (posedge CLK) (0.332::0.314))
+        )
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.527::0.654))
+        )
+        (TIMINGCHECK
+                (SETUP WA2 (posedge CLK) (0.181::0.068))
+        )
+        (TIMINGCHECK
+                (HOLD WA1 (posedge CLK) (0.728::0.538))
+        )
+        (TIMINGCHECK
+                (HOLD WA3 (posedge CLK) (0.579::0.46))
+        )
+        (TIMINGCHECK
+                (SETUP WA5 (posedge CLK) (0.236::0.245))
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH D Q (0.075::0.094)(0.214::0.265))
+                (IOPATH DI2 CO2 (0.101::0.126)(0.295::0.366))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CE Q (0.129::0.161)(0.415::0.514))
+                (IOPATH DI2 O3 (0.129::0.161)(0.36::0.447))
             )
         )
         (DELAY
             (ABSOLUTE
-                (IOPATH CLK Q (0.132::0.164)(0.378::0.469))
+                (IOPATH DI2 CO3 (0.119::0.148)(0.326::0.404))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_DX")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.117::0.146)(0.319::0.396))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_DX")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.113::0.14)(0.31::0.385))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEM/F7BMUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 OUT (0.096::0.12)(0.253::0.314))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.064::0.08)(0.177::0.219))
             )
         )
     )
@@ -1884,6 +1417,25 @@
     )
     (CELL
         (CELLTYPE "SELMUX2_1")
+        (INSTANCE SLICEM/F7AMUX)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH S0 OUT (0.09::0.112)(0.235::0.292))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 1 OUT (0.054::0.068)(0.153::0.19))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH 0 OUT (0.053::0.067)(0.152::0.189))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "SELMUX2_1")
         (INSTANCE SLICEL/F7AMUX)
         (DELAY
             (ABSOLUTE
@@ -1940,348 +1492,808 @@
         )
     )
     (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEM/F7AMUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 OUT (0.09::0.112)(0.235::0.292))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 1 OUT (0.054::0.068)(0.153::0.19))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.053::0.067)(0.152::0.189))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "SELMUX2_1")
-        (INSTANCE SLICEM/F7BMUX)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH S0 OUT (0.096::0.12)(0.253::0.314))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH 0 OUT (0.064::0.08)(0.177::0.219))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QL")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.326::0.404))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
-        (CELLTYPE "REG_INIT_FF_QL")
-        (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.279::0.347))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.285::-0.232))
-        )
-    )
-    (CELL
-        (CELLTYPE "FF_INIT_QH")
-        (INSTANCE SLICEL)
-        (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.288::0.358))
-        )
-        (TIMINGCHECK
-                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
-        )
-    )
-    (CELL
         (CELLTYPE "FF_INIT_QH")
         (INSTANCE SLICEM)
         (TIMINGCHECK
-                (RECOVERY SR (posedge CLK) (0.279::0.347))
-        )
-        (TIMINGCHECK
                 (REMOVAL SR (posedge CLK) (-0.292::-0.238))
         )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6LRAM")
-        (INSTANCE SLICEM/C6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
         (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.368::0.457))
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.152::0.189))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (TIMINGCHECK
-                (HOLD DI2 (posedge CLK) (0.091::0.113))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (TIMINGCHECK
-                (SETUP DI2 (posedge CLK) (0.302::0.375))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O6 (0.34::0.423)(0.933::1.158))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
-            )
+                (RECOVERY SR (posedge CLK) (0.279::0.347))
         )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM6LRAM")
+        (CELLTYPE "FF_INIT_QH")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.288::0.358))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT")
         (INSTANCE SLICEM)
-        (TIMINGCHECK
-                (SETUP WA5 (posedge CLK) (0.236::0.245))
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.102::0.127)(0.259::0.322))
+            )
         )
         (TIMINGCHECK
-                (HOLD WA5 (posedge CLK) (0.332::0.314))
+                (SETUP CE (posedge CLK) (0.088::0.109))
         )
         (TIMINGCHECK
-                (HOLD WA2 (posedge CLK) (0.745::0.572))
-        )
-        (TIMINGCHECK
-                (HOLD WA7 (posedge CLK) (0.184::0.228))
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.213::0.244))
-        )
-        (TIMINGCHECK
-                (SETUP WA1 (posedge CLK) (0.184::0.066))
-        )
-        (TIMINGCHECK
-                (HOLD WE (posedge CLK) (0.008::0.01))
-        )
-        (TIMINGCHECK
-                (HOLD WA8 (posedge CLK) (0.199::0.247))
-        )
-        (TIMINGCHECK
-                (HOLD WA1 (posedge CLK) (0.728::0.538))
-        )
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.302::0.362))
-        )
-        (TIMINGCHECK
-                (SETUP WA8 (posedge CLK) (0.511::0.633))
-        )
-        (TIMINGCHECK
-                (SETUP WA7 (posedge CLK) (0.496::0.616))
-        )
-        (TIMINGCHECK
-                (SETUP WA2 (posedge CLK) (0.181::0.068))
-        )
-        (TIMINGCHECK
-                (SETUP WE (posedge CLK) (0.527::0.654))
+                (HOLD CE (posedge CLK) (-0.007::-0.005))
         )
     )
     (CELL
-        (CELLTYPE "LUT_OR_MEM6LRAM")
-        (INSTANCE SLICEM/A6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.366::0.453))
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.155::0.192))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (TIMINGCHECK
-                (HOLD DI2 (posedge CLK) (0.098::0.122))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (TIMINGCHECK
-                (SETUP DI2 (posedge CLK) (0.309::0.384))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O6 (0.432::0.538)(0.93::1.153))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6LRAM")
-        (INSTANCE SLICEM/D6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.25::0.31))
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.153::0.19))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (TIMINGCHECK
-                (HOLD DI2 (posedge CLK) (0.106::0.132))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (TIMINGCHECK
-                (SETUP DI2 (posedge CLK) (0.269::0.334))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O6 (0.347::0.432)(0.938::1.163))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "LUT_OR_MEM6LRAM")
-        (INSTANCE SLICEM/B6LUT)
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A5 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A4 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (TIMINGCHECK
-                (SETUP CLK (posedge CLK) (0.372::0.461))
-        )
-        (TIMINGCHECK
-                (HOLD CLK (posedge CLK) (0.154::0.191))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A1 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (TIMINGCHECK
-                (HOLD DI2 (posedge CLK) (0.107::0.133))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A3 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (TIMINGCHECK
-                (SETUP DI2 (posedge CLK) (0.286::0.354))
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A2 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH CLK O6 (0.342::0.425)(0.936::1.161))
-            )
-        )
-        (DELAY
-            (ABSOLUTE
-                (IOPATH A6 O6 (0.045::0.056)(0.1::0.124))
-            )
-        )
-    )
-    (CELL
-        (CELLTYPE "CARRY4_DX")
+        (CELLTYPE "FF_INIT")
         (INSTANCE SLICEL)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI3 CO3 (0.113::0.14)(0.31::0.385))
+                (IOPATH CLK Q (0.099::0.124)(0.244::0.303))
+            )
+        )
+        (TIMINGCHECK
+                (SETUP CE (posedge CLK) (0.088::0.109))
+        )
+        (TIMINGCHECK
+                (HOLD CE (posedge CLK) (-0.011::-0.009))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5SHFREG")
+        (INSTANCE SLICEM/B5LUT)
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.126::0.156))
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.07::0.087))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O5 (0.439::0.547)(1.19::1.476))
             )
         )
     )
     (CELL
-        (CELLTYPE "CARRY4_DX")
+        (CELLTYPE "LUT_OR_MEM5SHFREG")
+        (INSTANCE SLICEM/D5LUT)
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (-0.04::-0.033))
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.217::0.269))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O5 (0.441::0.549)(1.194::1.481))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5SHFREG")
+        (INSTANCE SLICEM/C5LUT)
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.117::0.145))
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.058::0.072))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O5 (0.442::0.55)(1.178::1.462))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5SHFREG")
+        (INSTANCE SLICEM/A5LUT)
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.137::0.17))
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.075::0.093))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O5 (0.442::0.55)(1.177::1.461))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM5SHFREG")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.514::0.638))
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.011::0.014))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QL")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.285::-0.232))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.314::0.389))
+        )
+    )
+    (CELL
+        (CELLTYPE "FF_INIT_QL")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.326::0.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF")
         (INSTANCE SLICEM)
         (DELAY
             (ABSOLUTE
-                (IOPATH DI3 CO3 (0.117::0.146)(0.319::0.396))
+                (IOPATH CLK Q (0.118::0.147)(0.292::0.362))
+            )
+        )
+        (TIMINGCHECK
+                (SETUP CE (posedge CLK) (0.088::0.109))
+        )
+        (TIMINGCHECK
+                (HOLD CE (posedge CLK) (-0.007::-0.005))
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.112::0.139)(0.274::0.34))
+            )
+        )
+        (TIMINGCHECK
+                (SETUP CE (posedge CLK) (0.088::0.109))
+        )
+        (TIMINGCHECK
+                (HOLD CE (posedge CLK) (-0.011::-0.009))
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_O5")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.069::0.092)(0.222::0.292))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.092::0.122)(0.279::0.367))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.131::0.174)(0.366::0.482))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.123::0.162)(0.371::0.488))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.084::0.111)(0.248::0.327))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO3 (0.108::0.142)(0.325::0.427))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O3 (0.13::0.172)(0.404::0.532))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO3 (0.08::0.105)(0.227::0.299))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.145::0.191)(0.418::0.55))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.091::0.121)(0.29::0.382))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO3 (0.124::0.164)(0.338::0.445))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO2 (0.118::0.156)(0.352::0.463))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.105::0.138)(0.306::0.403))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O2 (0.108::0.142)(0.357::0.47))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.092::0.122)(0.247::0.325))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.087::0.114)(0.232::0.305))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_O5")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.071::0.094)(0.219::0.289))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.098::0.129)(0.282::0.372))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.129::0.171)(0.36::0.474))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.122::0.161)(0.369::0.486))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.085::0.112)(0.25::0.329))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO3 (0.115::0.152)(0.336::0.443))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O3 (0.131::0.174)(0.404::0.532))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO3 (0.088::0.116)(0.246::0.324))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.143::0.189)(0.414::0.545))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.092::0.122)(0.286::0.376))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO3 (0.129::0.171)(0.346::0.456))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO2 (0.118::0.156)(0.349::0.459))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.103::0.136)(0.301::0.396))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O2 (0.11::0.146)(0.358::0.471))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.094::0.124)(0.256::0.337))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI3 CO3 (0.088::0.116)(0.248::0.327))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CE Q (0.129::0.161)(0.415::0.514))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.075::0.094)(0.214::0.265))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.132::0.164)(0.378::0.469))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CE Q (0.13::0.162)(0.409::0.507))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK Q (0.129::0.16)(0.357::0.443))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.101::0.126)(0.293::0.363))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.129::0.161)(0.359::0.446))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH SR Q (0.161::0.201)(0.645::0.8))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.104::0.129)(0.282::0.35))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT_LOGIC_AND")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH SR Q (0.161::0.201)(0.645::0.8))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.104::0.129)(0.282::0.35))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_LAT_LOGIC_OR")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH SR Q (0.164::0.204)(0.638::0.791))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH D Q (0.073::0.092)(0.213::0.264))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.186::0.232)(0.518::0.642))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.165::0.206)(0.452::0.56))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.165::0.205)(0.467::0.579))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.117::0.146)(0.311::0.386))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.141::0.176)(0.391::0.485))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.129::0.16)(0.339::0.421))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.177::0.22)(0.496::0.615))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.113::0.14)(0.301::0.374))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_BX_LFF")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.124::0.155)(0.364::0.451))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O3 (0.17::0.212)(0.492::0.61))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O2 (0.149::0.185)(0.44::0.546))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO2 (0.15::0.187)(0.426::0.529))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_BX_LFF")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO1 (0.123::0.153)(0.355::0.44))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 O2 (0.146::0.182)(0.431::0.535))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI1 CO2 (0.148::0.184)(0.417::0.517))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6SHFREG")
+        (INSTANCE SLICEM/A6LUT)
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.137::0.17))
+        )
+        (TIMINGCHECK
+                (SETUP DI2 (posedge CLK) (0.14::0.173))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O6 (0.444::0.553)(1.186::1.472))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK MC31 (0.332::0.414)(0.898::1.114))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.075::0.093))
+        )
+        (TIMINGCHECK
+                (HOLD DI2 (posedge CLK) (0.076::0.094))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6SHFREG")
+        (INSTANCE SLICEM/D6LUT)
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (-0.04::-0.033))
+        )
+        (TIMINGCHECK
+                (SETUP DI2 (posedge CLK) (0.133::0.165))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O6 (0.438::0.545)(1.174::1.456))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK MC31 (0.286::0.357)(0.788::0.978))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.217::0.269))
+        )
+        (TIMINGCHECK
+                (HOLD DI2 (posedge CLK) (0.091::0.112))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6SHFREG")
+        (INSTANCE SLICEM/C6LUT)
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.117::0.145))
+        )
+        (TIMINGCHECK
+                (SETUP DI2 (posedge CLK) (0.116::0.144))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O6 (0.436::0.543)(1.169::1.45))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK MC31 (0.285::0.355)(0.784::0.972))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.058::0.072))
+        )
+        (TIMINGCHECK
+                (HOLD DI2 (posedge CLK) (0.062::0.077))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6SHFREG")
+        (INSTANCE SLICEM/B6LUT)
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.126::0.156))
+        )
+        (TIMINGCHECK
+                (SETUP DI2 (posedge CLK) (0.143::0.178))
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK O6 (0.438::0.545)(1.176::1.458))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH CLK MC31 (0.286::0.356)(0.789::0.979))
+            )
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.07::0.087))
+        )
+        (TIMINGCHECK
+                (HOLD DI2 (posedge CLK) (0.089::0.11))
+        )
+    )
+    (CELL
+        (CELLTYPE "LUT_OR_MEM6SHFREG")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+                (SETUP CLK (posedge CLK) (0.514::0.638))
+        )
+        (TIMINGCHECK
+                (HOLD CLK (posedge CLK) (0.011::0.014))
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF_QL")
+        (INSTANCE SLICEM)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.285::-0.232))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.279::0.347))
+        )
+    )
+    (CELL
+        (CELLTYPE "REG_INIT_FF_QL")
+        (INSTANCE SLICEL)
+        (TIMINGCHECK
+                (REMOVAL SR (posedge CLK) (-0.305::-0.248))
+        )
+        (TIMINGCHECK
+                (RECOVERY SR (posedge CLK) (0.326::0.404))
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LBOTH")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.191::0.238)(0.532::0.659))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.177::0.22)(0.475::0.59))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.168::0.209)(0.481::0.596))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.127::0.158)(0.353::0.438))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.149::0.185)(0.412::0.511))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.136::0.17)(0.351::0.436))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_AX_LBOTH")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO2 (0.17::0.211)(0.456::0.566))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O2 (0.16::0.2)(0.462::0.573))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI0 O1 (0.131::0.163)(0.338::0.42))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LBOTH")
+        (INSTANCE SLICEM)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.108::0.134)(0.315::0.391))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.132::0.164)(0.373::0.463))
+            )
+        )
+    )
+    (CELL
+        (CELLTYPE "CARRY4_CX_LBOTH")
+        (INSTANCE SLICEL)
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
+            )
+        )
+        (DELAY
+            (ABSOLUTE
+                (IOPATH DI2 O3 (0.129::0.16)(0.366::0.455))
             )
         )
     )