tree: 2ced5c78af8bab0d00afc17065fb57e1f48d7042 [path history] [tgz]
  1. cells_data/
  2. gridinfo/
  3. harness/
  4. mapping/
  5. timings/
  6. xc7a100t/
  7. xc7a100tcsg324-1/
  8. xc7a100tcsg324-2/
  9. xc7a100tcsg324-2L/
  10. xc7a100tcsg324-3/
  11. xc7a100tfgg484-1/
  12. xc7a100tfgg484-2/
  13. xc7a100tfgg484-2L/
  14. xc7a100tfgg484-3/
  15. xc7a100tfgg676-1/
  16. xc7a100tfgg676-2/
  17. xc7a100tfgg676-2L/
  18. xc7a100tfgg676-3/
  19. xc7a100tftg256-1/
  20. xc7a100tftg256-2/
  21. xc7a100tftg256-2L/
  22. xc7a100tftg256-3/
  23. xc7a200t/
  24. xc7a200tfbg484-1/
  25. xc7a200tfbg484-2/
  26. xc7a200tfbg484-2L/
  27. xc7a200tfbg484-3/
  28. xc7a200tfbg676-1/
  29. xc7a200tfbg676-2/
  30. xc7a200tfbg676-2L/
  31. xc7a200tfbg676-3/
  32. xc7a200tfbv484-1/
  33. xc7a200tfbv484-2/
  34. xc7a200tfbv484-2L/
  35. xc7a200tfbv484-3/
  36. xc7a200tfbv676-1/
  37. xc7a200tfbv676-2/
  38. xc7a200tfbv676-2L/
  39. xc7a200tfbv676-3/
  40. xc7a200tffg1156-1/
  41. xc7a200tffg1156-2/
  42. xc7a200tffg1156-2L/
  43. xc7a200tffg1156-3/
  44. xc7a200tffv1156-1/
  45. xc7a200tffv1156-2/
  46. xc7a200tffv1156-2L/
  47. xc7a200tffv1156-3/
  48. xc7a200tsbg484-1/
  49. xc7a200tsbg484-2/
  50. xc7a200tsbg484-2L/
  51. xc7a200tsbg484-3/
  52. xc7a200tsbv484-1/
  53. xc7a200tsbv484-2/
  54. xc7a200tsbv484-2L/
  55. xc7a200tsbv484-3/
  56. xc7a35tcpg236-1/
  57. xc7a35tcpg236-2/
  58. xc7a35tcpg236-2L/
  59. xc7a35tcpg236-3/
  60. xc7a35tcsg324-1/
  61. xc7a35tcsg324-2/
  62. xc7a35tcsg324-2L/
  63. xc7a35tcsg324-3/
  64. xc7a35tcsg325-1/
  65. xc7a35tcsg325-2/
  66. xc7a35tcsg325-2L/
  67. xc7a35tcsg325-3/
  68. xc7a35tfgg484-1/
  69. xc7a35tfgg484-2/
  70. xc7a35tfgg484-2L/
  71. xc7a35tfgg484-3/
  72. xc7a35tftg256-1/
  73. xc7a35tftg256-2/
  74. xc7a35tftg256-2L/
  75. xc7a35tftg256-3/
  76. xc7a50t/
  77. xc7a50tcpg236-1/
  78. xc7a50tcpg236-2/
  79. xc7a50tcpg236-2L/
  80. xc7a50tcpg236-3/
  81. xc7a50tcsg324-1/
  82. xc7a50tcsg324-2/
  83. xc7a50tcsg324-2L/
  84. xc7a50tcsg324-3/
  85. xc7a50tcsg325-1/
  86. xc7a50tcsg325-2/
  87. xc7a50tcsg325-2L/
  88. xc7a50tcsg325-3/
  89. xc7a50tfgg484-1/
  90. xc7a50tfgg484-2/
  91. xc7a50tfgg484-2L/
  92. xc7a50tfgg484-3/
  93. xc7a50tftg256-1/
  94. xc7a50tftg256-2/
  95. xc7a50tftg256-2L/
  96. xc7a50tftg256-3/
  97. element_counts.csv
  98. index.html
  99. mask_bram_l.block_ram.db
  100. mask_bram_l.db
  101. mask_bram_l.origin_info.db
  102. mask_bram_r.block_ram.db
  103. mask_bram_r.db
  104. mask_bram_r.origin_info.db
  105. mask_clbll_l.db
  106. mask_clbll_l.origin_info.db
  107. mask_clbll_r.db
  108. mask_clbll_r.origin_info.db
  109. mask_clblm_l.db
  110. mask_clblm_l.origin_info.db
  111. mask_clblm_r.db
  112. mask_clblm_r.origin_info.db
  113. mask_clk_bufg_bot_r.db
  114. mask_clk_bufg_rebuf.db
  115. mask_clk_bufg_top_r.db
  116. mask_clk_hrow_bot_r.db
  117. mask_clk_hrow_top_r.db
  118. mask_dsp_l.db
  119. mask_dsp_l.origin_info.db
  120. mask_dsp_r.db
  121. mask_dsp_r.origin_info.db
  122. mask_gtp_channel_0.db
  123. mask_gtp_channel_0_mid_left.db
  124. mask_gtp_channel_0_mid_right.db
  125. mask_gtp_channel_1.db
  126. mask_gtp_channel_1_mid_left.db
  127. mask_gtp_channel_1_mid_right.db
  128. mask_gtp_channel_2.db
  129. mask_gtp_channel_2_mid_left.db
  130. mask_gtp_channel_2_mid_right.db
  131. mask_gtp_channel_3.db
  132. mask_gtp_channel_3_mid_left.db
  133. mask_gtp_channel_3_mid_right.db
  134. mask_gtp_common.db
  135. mask_gtp_common_mid_left.db
  136. mask_gtp_common_mid_right.db
  137. mask_hclk_cmt.db
  138. mask_hclk_cmt_l.db
  139. mask_hclk_ioi.db
  140. mask_hclk_ioi3.db
  141. mask_hclk_l.db
  142. mask_hclk_l.origin_info.db
  143. mask_hclk_r.db
  144. mask_hclk_r.origin_info.db
  145. mask_liob33.db
  146. mask_lioi3.db
  147. mask_lioi3_tbytesrc.db
  148. mask_lioi3_tbyteterm.db
  149. mask_pcie_bot.db
  150. mask_riob33.db
  151. mask_rioi3.db
  152. mask_rioi3_tbytesrc.db
  153. mask_rioi3_tbyteterm.db
  154. ppips_bram_int_interface_l.db
  155. ppips_bram_int_interface_l.origin_info.db
  156. ppips_bram_int_interface_r.db
  157. ppips_bram_int_interface_r.origin_info.db
  158. ppips_bram_l.db
  159. ppips_bram_l.origin_info.db
  160. ppips_bram_r.db
  161. ppips_bram_r.origin_info.db
  162. ppips_brkh_int.db
  163. ppips_clbll_l.db
  164. ppips_clbll_l.origin_info.db
  165. ppips_clbll_r.db
  166. ppips_clbll_r.origin_info.db
  167. ppips_clblm_l.db
  168. ppips_clblm_l.origin_info.db
  169. ppips_clblm_r.db
  170. ppips_clblm_r.origin_info.db
  171. ppips_clk_bufg_bot_r.db
  172. ppips_clk_bufg_top_r.db
  173. ppips_clk_hrow_bot_r.db
  174. ppips_clk_hrow_top_r.db
  175. ppips_cmt_top_l_lower_b.db
  176. ppips_cmt_top_l_lower_t.db
  177. ppips_cmt_top_l_upper_b.db
  178. ppips_cmt_top_l_upper_t.db
  179. ppips_cmt_top_r_lower_b.db
  180. ppips_cmt_top_r_lower_t.db
  181. ppips_cmt_top_r_upper_b.db
  182. ppips_cmt_top_r_upper_t.db
  183. ppips_dsp_l.db
  184. ppips_dsp_l.origin_info.db
  185. ppips_dsp_r.db
  186. ppips_dsp_r.origin_info.db
  187. ppips_gtp_channel_0.db
  188. ppips_gtp_channel_0_mid_left.db
  189. ppips_gtp_channel_0_mid_right.db
  190. ppips_gtp_channel_1.db
  191. ppips_gtp_channel_1_mid_left.db
  192. ppips_gtp_channel_1_mid_right.db
  193. ppips_gtp_channel_2.db
  194. ppips_gtp_channel_2_mid_left.db
  195. ppips_gtp_channel_2_mid_right.db
  196. ppips_gtp_channel_3.db
  197. ppips_gtp_channel_3_mid_left.db
  198. ppips_gtp_channel_3_mid_right.db
  199. ppips_gtp_common.db
  200. ppips_gtp_common_mid_left.db
  201. ppips_gtp_common_mid_right.db
  202. ppips_gtp_int_interface.db
  203. ppips_gtp_int_interface_l.db
  204. ppips_gtp_int_interface_r.db
  205. ppips_hclk_cmt.db
  206. ppips_hclk_ioi3.db
  207. ppips_hclk_l.db
  208. ppips_hclk_l.origin_info.db
  209. ppips_hclk_r.db
  210. ppips_hclk_r.origin_info.db
  211. ppips_int_interface_l.db
  212. ppips_int_interface_r.db
  213. ppips_int_l.db
  214. ppips_int_l.origin_info.db
  215. ppips_int_r.db
  216. ppips_int_r.origin_info.db
  217. ppips_io_int_interface_l.db
  218. ppips_io_int_interface_r.db
  219. ppips_liob33.db
  220. ppips_liob33_sing.db
  221. ppips_lioi3.db
  222. ppips_lioi3_sing.db
  223. ppips_lioi3_tbytesrc.db
  224. ppips_lioi3_tbyteterm.db
  225. ppips_pcie_bot.db
  226. ppips_pcie_int_interface_l.db
  227. ppips_pcie_int_interface_r.db
  228. ppips_pcie_top.db
  229. ppips_riob33.db
  230. ppips_riob33_sing.db
  231. ppips_rioi3.db
  232. ppips_rioi3_sing.db
  233. ppips_rioi3_tbytesrc.db
  234. ppips_rioi3_tbyteterm.db
  235. segbits_bram_l.block_ram.db
  236. segbits_bram_l.block_ram.origin_info.db
  237. segbits_bram_l.db
  238. segbits_bram_l.origin_info.db
  239. segbits_bram_r.block_ram.db
  240. segbits_bram_r.block_ram.origin_info.db
  241. segbits_bram_r.db
  242. segbits_bram_r.origin_info.db
  243. segbits_cfg_center_mid.db
  244. segbits_cfg_center_mid.origin_info.db
  245. segbits_clbll_l.db
  246. segbits_clbll_l.origin_info.db
  247. segbits_clbll_r.db
  248. segbits_clbll_r.origin_info.db
  249. segbits_clblm_l.db
  250. segbits_clblm_l.origin_info.db
  251. segbits_clblm_r.db
  252. segbits_clblm_r.origin_info.db
  253. segbits_clk_bufg_bot_r.db
  254. segbits_clk_bufg_bot_r.origin_info.db
  255. segbits_clk_bufg_rebuf.db
  256. segbits_clk_bufg_rebuf.origin_info.db
  257. segbits_clk_bufg_top_r.db
  258. segbits_clk_bufg_top_r.origin_info.db
  259. segbits_clk_hrow_bot_r.db
  260. segbits_clk_hrow_bot_r.origin_info.db
  261. segbits_clk_hrow_top_r.db
  262. segbits_clk_hrow_top_r.origin_info.db
  263. segbits_cmt_top_l_lower_b.db
  264. segbits_cmt_top_l_lower_b.origin_info.db
  265. segbits_cmt_top_l_upper_t.db
  266. segbits_cmt_top_l_upper_t.origin_info.db
  267. segbits_cmt_top_r_lower_b.db
  268. segbits_cmt_top_r_lower_b.origin_info.db
  269. segbits_cmt_top_r_upper_t.db
  270. segbits_cmt_top_r_upper_t.origin_info.db
  271. segbits_dsp_l.db
  272. segbits_dsp_l.origin_info.db
  273. segbits_dsp_r.db
  274. segbits_dsp_r.origin_info.db
  275. segbits_gtp_channel_0.db
  276. segbits_gtp_channel_0.origin_info.db
  277. segbits_gtp_channel_0_mid_left.db
  278. segbits_gtp_channel_0_mid_left.origin_info.db
  279. segbits_gtp_channel_0_mid_right.db
  280. segbits_gtp_channel_0_mid_right.origin_info.db
  281. segbits_gtp_channel_1.db
  282. segbits_gtp_channel_1.origin_info.db
  283. segbits_gtp_channel_1_mid_left.db
  284. segbits_gtp_channel_1_mid_left.origin_info.db
  285. segbits_gtp_channel_1_mid_right.db
  286. segbits_gtp_channel_1_mid_right.origin_info.db
  287. segbits_gtp_channel_2.db
  288. segbits_gtp_channel_2.origin_info.db
  289. segbits_gtp_channel_2_mid_left.db
  290. segbits_gtp_channel_2_mid_left.origin_info.db
  291. segbits_gtp_channel_2_mid_right.db
  292. segbits_gtp_channel_2_mid_right.origin_info.db
  293. segbits_gtp_channel_3.db
  294. segbits_gtp_channel_3.origin_info.db
  295. segbits_gtp_channel_3_mid_left.db
  296. segbits_gtp_channel_3_mid_left.origin_info.db
  297. segbits_gtp_channel_3_mid_right.db
  298. segbits_gtp_channel_3_mid_right.origin_info.db
  299. segbits_gtp_common.db
  300. segbits_gtp_common.origin_info.db
  301. segbits_gtp_common_mid_left.db
  302. segbits_gtp_common_mid_left.origin_info.db
  303. segbits_gtp_common_mid_right.db
  304. segbits_gtp_common_mid_right.origin_info.db
  305. segbits_gtp_int_interface.db
  306. segbits_gtp_int_interface.origin_info.db
  307. segbits_gtp_int_interface_l.db
  308. segbits_gtp_int_interface_l.origin_info.db
  309. segbits_gtp_int_interface_r.db
  310. segbits_gtp_int_interface_r.origin_info.db
  311. segbits_hclk_cmt.db
  312. segbits_hclk_cmt.origin_info.db
  313. segbits_hclk_cmt_l.db
  314. segbits_hclk_cmt_l.origin_info.db
  315. segbits_hclk_ioi3.db
  316. segbits_hclk_ioi3.origin_info.db
  317. segbits_hclk_l.db
  318. segbits_hclk_l.origin_info.db
  319. segbits_hclk_r.db
  320. segbits_hclk_r.origin_info.db
  321. segbits_int_l.db
  322. segbits_int_l.origin_info.db
  323. segbits_int_r.db
  324. segbits_int_r.origin_info.db
  325. segbits_liob33.db
  326. segbits_liob33.origin_info.db
  327. segbits_lioi3.db
  328. segbits_lioi3.origin_info.db
  329. segbits_lioi3_tbytesrc.db
  330. segbits_lioi3_tbytesrc.origin_info.db
  331. segbits_lioi3_tbyteterm.db
  332. segbits_lioi3_tbyteterm.origin_info.db
  333. segbits_pcie_bot.db
  334. segbits_pcie_bot.origin_info.db
  335. segbits_pcie_int_interface_l.db
  336. segbits_pcie_int_interface_l.origin_info.db
  337. segbits_pcie_int_interface_r.db
  338. segbits_pcie_int_interface_r.origin_info.db
  339. segbits_riob33.db
  340. segbits_riob33.origin_info.db
  341. segbits_rioi3.db
  342. segbits_rioi3.origin_info.db
  343. segbits_rioi3_tbytesrc.db
  344. segbits_rioi3_tbytesrc.origin_info.db
  345. segbits_rioi3_tbyteterm.db
  346. segbits_rioi3_tbyteterm.origin_info.db
  347. settings.sh
  348. site_type_BSCAN.json
  349. site_type_BUFGCTRL.json
  350. site_type_BUFHCE.json
  351. site_type_BUFIO.json
  352. site_type_BUFMRCE.json
  353. site_type_BUFR.json
  354. site_type_CAPTURE.json
  355. site_type_DCIRESET.json
  356. site_type_DNA_PORT.json
  357. site_type_DSP48E1.json
  358. site_type_EFUSE_USR.json
  359. site_type_FIFO18E1.json
  360. site_type_FRAME_ECC.json
  361. site_type_GTPE2_CHANNEL.json
  362. site_type_GTPE2_COMMON.json
  363. site_type_IBUFDS_GTE2.json
  364. site_type_ICAP.json
  365. site_type_IDELAYCTRL.json
  366. site_type_IDELAYE2.json
  367. site_type_ILOGICE3.json
  368. site_type_IN_FIFO.json
  369. site_type_IOB33.json
  370. site_type_IOB33M.json
  371. site_type_IOB33S.json
  372. site_type_IPAD.json
  373. site_type_MMCME2_ADV.json
  374. site_type_OLOGICE3.json
  375. site_type_OPAD.json
  376. site_type_OUT_FIFO.json
  377. site_type_PCIE_2_1.json
  378. site_type_PHASER_IN_PHY.json
  379. site_type_PHASER_OUT_PHY.json
  380. site_type_PHASER_REF.json
  381. site_type_PHY_CONTROL.json
  382. site_type_PLLE2_ADV.json
  383. site_type_PMV2.json
  384. site_type_RAMB18E1.json
  385. site_type_RAMBFIFO36E1.json
  386. site_type_SLICEL.json
  387. site_type_SLICEM.json
  388. site_type_STARTUP.json
  389. site_type_TIEOFF.json
  390. site_type_USR_ACCESS.json
  391. site_type_XADC.json
  392. tile_bram_l.html
  393. tile_bram_r.html
  394. tile_clbll_l.html
  395. tile_clbll_r.html
  396. tile_clblm_l.html
  397. tile_clblm_r.html
  398. tile_dsp_l.html
  399. tile_dsp_r.html
  400. tile_hclk_l.html
  401. tile_hclk_r.html
  402. tile_int_l.html
  403. tile_int_r.html
  404. tile_type_B_TERM_INT.json
  405. tile_type_BRAM_INT_INTERFACE_L.json
  406. tile_type_BRAM_INT_INTERFACE_R.json
  407. tile_type_BRAM_L.json
  408. tile_type_BRAM_R.json
  409. tile_type_BRKH_B_TERM_INT.json
  410. tile_type_BRKH_BRAM.json
  411. tile_type_BRKH_CLB.json
  412. tile_type_BRKH_CLK.json
  413. tile_type_BRKH_CMT.json
  414. tile_type_BRKH_DSP_L.json
  415. tile_type_BRKH_DSP_R.json
  416. tile_type_BRKH_GTX.json
  417. tile_type_BRKH_INT.json
  418. tile_type_BRKH_TERM_INT.json
  419. tile_type_CFG_CENTER_BOT.json
  420. tile_type_CFG_CENTER_MID.json
  421. tile_type_CFG_CENTER_TOP.json
  422. tile_type_CLBLL_L.json
  423. tile_type_CLBLL_R.json
  424. tile_type_CLBLM_L.json
  425. tile_type_CLBLM_R.json
  426. tile_type_CLK_BUFG_BOT_R.json
  427. tile_type_CLK_BUFG_REBUF.json
  428. tile_type_CLK_BUFG_TOP_R.json
  429. tile_type_CLK_FEED.json
  430. tile_type_CLK_HROW_BOT_R.json
  431. tile_type_CLK_HROW_TOP_R.json
  432. tile_type_CLK_MTBF2.json
  433. tile_type_CLK_PMV.json
  434. tile_type_CLK_PMV2.json
  435. tile_type_CLK_PMV2_SVT.json
  436. tile_type_CLK_PMVIOB.json
  437. tile_type_CLK_TERM.json
  438. tile_type_CMT_FIFO_L.json
  439. tile_type_CMT_FIFO_R.json
  440. tile_type_CMT_PMV.json
  441. tile_type_CMT_PMV_L.json
  442. tile_type_CMT_TOP_L_LOWER_B.json
  443. tile_type_CMT_TOP_L_LOWER_T.json
  444. tile_type_CMT_TOP_L_UPPER_B.json
  445. tile_type_CMT_TOP_L_UPPER_T.json
  446. tile_type_CMT_TOP_R_LOWER_B.json
  447. tile_type_CMT_TOP_R_LOWER_T.json
  448. tile_type_CMT_TOP_R_UPPER_B.json
  449. tile_type_CMT_TOP_R_UPPER_T.json
  450. tile_type_DSP_L.json
  451. tile_type_DSP_R.json
  452. tile_type_GTP_CHANNEL_0.json
  453. tile_type_GTP_CHANNEL_0_MID_LEFT.json
  454. tile_type_GTP_CHANNEL_0_MID_RIGHT.json
  455. tile_type_GTP_CHANNEL_1.json
  456. tile_type_GTP_CHANNEL_1_MID_LEFT.json
  457. tile_type_GTP_CHANNEL_1_MID_RIGHT.json
  458. tile_type_GTP_CHANNEL_2.json
  459. tile_type_GTP_CHANNEL_2_MID_LEFT.json
  460. tile_type_GTP_CHANNEL_2_MID_RIGHT.json
  461. tile_type_GTP_CHANNEL_3.json
  462. tile_type_GTP_CHANNEL_3_MID_LEFT.json
  463. tile_type_GTP_CHANNEL_3_MID_RIGHT.json
  464. tile_type_GTP_COMMON.json
  465. tile_type_GTP_COMMON_MID_LEFT.json
  466. tile_type_GTP_COMMON_MID_RIGHT.json
  467. tile_type_GTP_INT_INT_TERM_L.json
  468. tile_type_GTP_INT_INT_TERM_R.json
  469. tile_type_GTP_INT_INTERFACE.json
  470. tile_type_GTP_INT_INTERFACE_L.json
  471. tile_type_GTP_INT_INTERFACE_R.json
  472. tile_type_GTP_MID_CHANNEL_STUB.json
  473. tile_type_GTP_MID_COMMON_STUB.json
  474. tile_type_HCLK_BRAM.json
  475. tile_type_HCLK_CLB.json
  476. tile_type_HCLK_CMT.json
  477. tile_type_HCLK_CMT_L.json
  478. tile_type_HCLK_DSP_L.json
  479. tile_type_HCLK_DSP_R.json
  480. tile_type_HCLK_FEEDTHRU_1.json
  481. tile_type_HCLK_FEEDTHRU_2.json
  482. tile_type_HCLK_FIFO_L.json
  483. tile_type_HCLK_GTX.json
  484. tile_type_HCLK_INT_INTERFACE.json
  485. tile_type_HCLK_IOB.json
  486. tile_type_HCLK_IOI3.json
  487. tile_type_HCLK_L.json
  488. tile_type_HCLK_L_BOT_UTURN.json
  489. tile_type_HCLK_R.json
  490. tile_type_HCLK_R_BOT_UTURN.json
  491. tile_type_HCLK_TERM.json
  492. tile_type_HCLK_TERM_GTX.json
  493. tile_type_HCLK_VBRK.json
  494. tile_type_HCLK_VFRAME.json
  495. tile_type_INT_FEEDTHRU_1.json
  496. tile_type_INT_FEEDTHRU_2.json
  497. tile_type_INT_INTERFACE_L.json
  498. tile_type_INT_INTERFACE_R.json
  499. tile_type_INT_L.json
  500. tile_type_INT_R.json
  501. tile_type_IO_INT_INTERFACE_L.json
  502. tile_type_IO_INT_INTERFACE_R.json
  503. tile_type_L_TERM_INT.json
  504. tile_type_LIOB33.json
  505. tile_type_LIOB33_SING.json
  506. tile_type_LIOI3.json
  507. tile_type_LIOI3_SING.json
  508. tile_type_LIOI3_TBYTESRC.json
  509. tile_type_LIOI3_TBYTETERM.json
  510. tile_type_MONITOR_BOT.json
  511. tile_type_MONITOR_MID.json
  512. tile_type_MONITOR_TOP.json
  513. tile_type_NULL.json
  514. tile_type_PCIE_BOT.json
  515. tile_type_PCIE_INT_INTERFACE_L.json
  516. tile_type_PCIE_INT_INTERFACE_R.json
  517. tile_type_PCIE_NULL.json
  518. tile_type_PCIE_TOP.json
  519. tile_type_R_TERM_INT.json
  520. tile_type_R_TERM_INT_GTX.json
  521. tile_type_RIOB33.json
  522. tile_type_RIOB33_SING.json
  523. tile_type_RIOI3.json
  524. tile_type_RIOI3_SING.json
  525. tile_type_RIOI3_TBYTESRC.json
  526. tile_type_RIOI3_TBYTETERM.json
  527. tile_type_T_TERM_INT.json
  528. tile_type_TERM_CMT.json
  529. tile_type_VBRK.json
  530. tile_type_VBRK_EXT.json
  531. tile_type_VFRAME.json