tree: 5d00eaace1a1ceda10b31a1b47554e965f8aa6e2 [path history] [tgz]
  1. mapping/
  2. timings/
  3. xc7s50/
  4. xc7s50csga324-1/
  5. xc7s50csga324-1IL/
  6. xc7s50csga324-2/
  7. xc7s50fgga484-1/
  8. xc7s50fgga484-1IL/
  9. xc7s50fgga484-2/
  10. xc7s50ftgb196-1/
  11. xc7s50ftgb196-1IL/
  12. xc7s50ftgb196-2/
  13. element_counts.csv
  14. index.html
  15. mask_bram_l.block_ram.db
  16. mask_bram_l.db
  17. mask_bram_l.origin_info.db
  18. mask_bram_r.block_ram.db
  19. mask_bram_r.db
  20. mask_bram_r.origin_info.db
  21. mask_clbll_l.db
  22. mask_clbll_l.origin_info.db
  23. mask_clbll_r.db
  24. mask_clbll_r.origin_info.db
  25. mask_clblm_l.db
  26. mask_clblm_l.origin_info.db
  27. mask_clblm_r.db
  28. mask_clblm_r.origin_info.db
  29. mask_clk_bufg_bot_r.db
  30. mask_clk_bufg_rebuf.db
  31. mask_clk_bufg_top_r.db
  32. mask_clk_hrow_bot_r.db
  33. mask_clk_hrow_top_r.db
  34. mask_dsp_l.db
  35. mask_dsp_l.origin_info.db
  36. mask_dsp_r.db
  37. mask_dsp_r.origin_info.db
  38. mask_hclk_cmt.db
  39. mask_hclk_cmt_l.db
  40. mask_hclk_ioi.db
  41. mask_hclk_ioi3.db
  42. mask_hclk_l.db
  43. mask_hclk_l.origin_info.db
  44. mask_hclk_r.db
  45. mask_hclk_r.origin_info.db
  46. mask_liob33.db
  47. mask_lioi3.db
  48. mask_lioi3_tbytesrc.db
  49. mask_lioi3_tbyteterm.db
  50. mask_riob33.db
  51. mask_rioi3.db
  52. mask_rioi3_tbytesrc.db
  53. mask_rioi3_tbyteterm.db
  54. ppips_bram_int_interface_l.db
  55. ppips_bram_int_interface_l.origin_info.db
  56. ppips_bram_int_interface_r.db
  57. ppips_bram_int_interface_r.origin_info.db
  58. ppips_bram_l.db
  59. ppips_bram_l.origin_info.db
  60. ppips_bram_r.db
  61. ppips_bram_r.origin_info.db
  62. ppips_brkh_int.db
  63. ppips_clbll_l.db
  64. ppips_clbll_l.origin_info.db
  65. ppips_clbll_r.db
  66. ppips_clbll_r.origin_info.db
  67. ppips_clblm_l.db
  68. ppips_clblm_l.origin_info.db
  69. ppips_clblm_r.db
  70. ppips_clblm_r.origin_info.db
  71. ppips_clk_bufg_bot_r.db
  72. ppips_clk_bufg_top_r.db
  73. ppips_clk_hrow_bot_r.db
  74. ppips_clk_hrow_top_r.db
  75. ppips_cmt_top_l_lower_b.db
  76. ppips_cmt_top_l_lower_t.db
  77. ppips_cmt_top_l_upper_b.db
  78. ppips_cmt_top_l_upper_t.db
  79. ppips_cmt_top_r_lower_b.db
  80. ppips_cmt_top_r_lower_t.db
  81. ppips_cmt_top_r_upper_b.db
  82. ppips_cmt_top_r_upper_t.db
  83. ppips_dsp_l.db
  84. ppips_dsp_l.origin_info.db
  85. ppips_dsp_r.db
  86. ppips_dsp_r.origin_info.db
  87. ppips_gtp_channel_0.db
  88. ppips_gtp_channel_0_mid_left.db
  89. ppips_gtp_channel_0_mid_right.db
  90. ppips_gtp_channel_1.db
  91. ppips_gtp_channel_1_mid_left.db
  92. ppips_gtp_channel_1_mid_right.db
  93. ppips_gtp_channel_2.db
  94. ppips_gtp_channel_2_mid_left.db
  95. ppips_gtp_channel_2_mid_right.db
  96. ppips_gtp_channel_3.db
  97. ppips_gtp_channel_3_mid_left.db
  98. ppips_gtp_channel_3_mid_right.db
  99. ppips_gtp_common.db
  100. ppips_gtp_common_mid_left.db
  101. ppips_gtp_common_mid_right.db
  102. ppips_gtp_int_interface.db
  103. ppips_gtp_int_interface_l.db
  104. ppips_gtp_int_interface_r.db
  105. ppips_hclk_cmt.db
  106. ppips_hclk_ioi3.db
  107. ppips_hclk_l.db
  108. ppips_hclk_l.origin_info.db
  109. ppips_hclk_r.db
  110. ppips_hclk_r.origin_info.db
  111. ppips_int_interface_l.db
  112. ppips_int_interface_r.db
  113. ppips_int_l.db
  114. ppips_int_l.origin_info.db
  115. ppips_int_r.db
  116. ppips_int_r.origin_info.db
  117. ppips_io_int_interface_l.db
  118. ppips_io_int_interface_r.db
  119. ppips_liob33.db
  120. ppips_liob33_sing.db
  121. ppips_lioi3.db
  122. ppips_lioi3_sing.db
  123. ppips_lioi3_tbytesrc.db
  124. ppips_lioi3_tbyteterm.db
  125. ppips_pcie_bot.db
  126. ppips_pcie_int_interface_l.db
  127. ppips_pcie_int_interface_r.db
  128. ppips_pcie_top.db
  129. ppips_riob33.db
  130. ppips_riob33_sing.db
  131. ppips_rioi3.db
  132. ppips_rioi3_sing.db
  133. ppips_rioi3_tbytesrc.db
  134. ppips_rioi3_tbyteterm.db
  135. segbits_bram_l.block_ram.db
  136. segbits_bram_l.block_ram.origin_info.db
  137. segbits_bram_l.db
  138. segbits_bram_l.origin_info.db
  139. segbits_bram_r.block_ram.db
  140. segbits_bram_r.block_ram.origin_info.db
  141. segbits_bram_r.db
  142. segbits_bram_r.origin_info.db
  143. segbits_cfg_center_mid.db
  144. segbits_cfg_center_mid.origin_info.db
  145. segbits_clbll_l.db
  146. segbits_clbll_l.origin_info.db
  147. segbits_clbll_r.db
  148. segbits_clbll_r.origin_info.db
  149. segbits_clblm_l.db
  150. segbits_clblm_l.origin_info.db
  151. segbits_clblm_r.db
  152. segbits_clblm_r.origin_info.db
  153. segbits_clk_bufg_bot_r.db
  154. segbits_clk_bufg_bot_r.origin_info.db
  155. segbits_clk_bufg_rebuf.db
  156. segbits_clk_bufg_rebuf.origin_info.db
  157. segbits_clk_bufg_top_r.db
  158. segbits_clk_bufg_top_r.origin_info.db
  159. segbits_clk_hrow_bot_r.db
  160. segbits_clk_hrow_bot_r.origin_info.db
  161. segbits_clk_hrow_top_r.db
  162. segbits_clk_hrow_top_r.origin_info.db
  163. segbits_cmt_top_l_lower_b.db
  164. segbits_cmt_top_l_lower_b.origin_info.db
  165. segbits_cmt_top_l_upper_t.db
  166. segbits_cmt_top_l_upper_t.origin_info.db
  167. segbits_cmt_top_r_lower_b.db
  168. segbits_cmt_top_r_lower_b.origin_info.db
  169. segbits_cmt_top_r_upper_t.db
  170. segbits_cmt_top_r_upper_t.origin_info.db
  171. segbits_dsp_l.db
  172. segbits_dsp_l.origin_info.db
  173. segbits_dsp_r.db
  174. segbits_dsp_r.origin_info.db
  175. segbits_hclk_cmt.db
  176. segbits_hclk_cmt.origin_info.db
  177. segbits_hclk_cmt_l.db
  178. segbits_hclk_cmt_l.origin_info.db
  179. segbits_hclk_ioi3.db
  180. segbits_hclk_ioi3.origin_info.db
  181. segbits_hclk_l.db
  182. segbits_hclk_l.origin_info.db
  183. segbits_hclk_r.db
  184. segbits_hclk_r.origin_info.db
  185. segbits_int_l.db
  186. segbits_int_l.origin_info.db
  187. segbits_int_r.db
  188. segbits_int_r.origin_info.db
  189. segbits_liob33.db
  190. segbits_liob33.origin_info.db
  191. segbits_lioi3.db
  192. segbits_lioi3.origin_info.db
  193. segbits_lioi3_tbytesrc.db
  194. segbits_lioi3_tbytesrc.origin_info.db
  195. segbits_lioi3_tbyteterm.db
  196. segbits_lioi3_tbyteterm.origin_info.db
  197. segbits_riob33.db
  198. segbits_riob33.origin_info.db
  199. segbits_rioi3.db
  200. segbits_rioi3.origin_info.db
  201. segbits_rioi3_tbytesrc.db
  202. segbits_rioi3_tbytesrc.origin_info.db
  203. segbits_rioi3_tbyteterm.db
  204. segbits_rioi3_tbyteterm.origin_info.db
  205. site_type_BSCAN.json
  206. site_type_BUFGCTRL.json
  207. site_type_BUFHCE.json
  208. site_type_BUFIO.json
  209. site_type_BUFMRCE.json
  210. site_type_BUFR.json
  211. site_type_CAPTURE.json
  212. site_type_DCIRESET.json
  213. site_type_DNA_PORT.json
  214. site_type_DSP48E1.json
  215. site_type_EFUSE_USR.json
  216. site_type_FIFO18E1.json
  217. site_type_FRAME_ECC.json
  218. site_type_ICAP.json
  219. site_type_IDELAYCTRL.json
  220. site_type_IDELAYE2.json
  221. site_type_ILOGICE3.json
  222. site_type_IN_FIFO.json
  223. site_type_IOB33.json
  224. site_type_IOB33M.json
  225. site_type_IOB33S.json
  226. site_type_IPAD.json
  227. site_type_MMCME2_ADV.json
  228. site_type_OLOGICE3.json
  229. site_type_OUT_FIFO.json
  230. site_type_PHASER_IN_PHY.json
  231. site_type_PHASER_OUT_PHY.json
  232. site_type_PHASER_REF.json
  233. site_type_PHY_CONTROL.json
  234. site_type_PLLE2_ADV.json
  235. site_type_PMV2.json
  236. site_type_RAMB18E1.json
  237. site_type_RAMBFIFO36E1.json
  238. site_type_SLICEL.json
  239. site_type_SLICEM.json
  240. site_type_STARTUP.json
  241. site_type_TIEOFF.json
  242. site_type_USR_ACCESS.json
  243. site_type_XADC.json
  244. tile_bram_l.html
  245. tile_bram_r.html
  246. tile_clbll_l.html
  247. tile_clbll_r.html
  248. tile_clblm_l.html
  249. tile_clblm_r.html
  250. tile_dsp_l.html
  251. tile_dsp_r.html
  252. tile_hclk_l.html
  253. tile_hclk_r.html
  254. tile_int_l.html
  255. tile_int_r.html
  256. tile_type_B_TERM_INT.json
  257. tile_type_BRAM_INT_INTERFACE_L.json
  258. tile_type_BRAM_INT_INTERFACE_R.json
  259. tile_type_BRAM_L.json
  260. tile_type_BRAM_R.json
  261. tile_type_BRKH_B_TERM_INT.json
  262. tile_type_BRKH_BRAM.json
  263. tile_type_BRKH_CLB.json
  264. tile_type_BRKH_CLK.json
  265. tile_type_BRKH_CMT.json
  266. tile_type_BRKH_DSP_L.json
  267. tile_type_BRKH_DSP_R.json
  268. tile_type_BRKH_GTX.json
  269. tile_type_BRKH_INT.json
  270. tile_type_BRKH_TERM_INT.json
  271. tile_type_CFG_CENTER_BOT.json
  272. tile_type_CFG_CENTER_MID.json
  273. tile_type_CFG_CENTER_TOP.json
  274. tile_type_CLBLL_L.json
  275. tile_type_CLBLL_R.json
  276. tile_type_CLBLM_L.json
  277. tile_type_CLBLM_R.json
  278. tile_type_CLK_BUFG_BOT_R.json
  279. tile_type_CLK_BUFG_REBUF.json
  280. tile_type_CLK_BUFG_TOP_R.json
  281. tile_type_CLK_FEED.json
  282. tile_type_CLK_HROW_BOT_R.json
  283. tile_type_CLK_HROW_TOP_R.json
  284. tile_type_CLK_MTBF2.json
  285. tile_type_CLK_PMV.json
  286. tile_type_CLK_PMV2.json
  287. tile_type_CLK_PMV2_SVT.json
  288. tile_type_CLK_PMVIOB.json
  289. tile_type_CLK_TERM.json
  290. tile_type_CMT_FIFO_L.json
  291. tile_type_CMT_FIFO_R.json
  292. tile_type_CMT_PMV.json
  293. tile_type_CMT_PMV_L.json
  294. tile_type_CMT_TOP_L_LOWER_B.json
  295. tile_type_CMT_TOP_L_LOWER_T.json
  296. tile_type_CMT_TOP_L_UPPER_B.json
  297. tile_type_CMT_TOP_L_UPPER_T.json
  298. tile_type_CMT_TOP_R_LOWER_B.json
  299. tile_type_CMT_TOP_R_LOWER_T.json
  300. tile_type_CMT_TOP_R_UPPER_B.json
  301. tile_type_CMT_TOP_R_UPPER_T.json
  302. tile_type_DSP_L.json
  303. tile_type_DSP_R.json
  304. tile_type_GTP_CHANNEL_0.json
  305. tile_type_GTP_CHANNEL_1.json
  306. tile_type_GTP_CHANNEL_2.json
  307. tile_type_GTP_CHANNEL_3.json
  308. tile_type_GTP_COMMON.json
  309. tile_type_GTP_INT_INTERFACE.json
  310. tile_type_HCLK_BRAM.json
  311. tile_type_HCLK_CLB.json
  312. tile_type_HCLK_CMT.json
  313. tile_type_HCLK_CMT_L.json
  314. tile_type_HCLK_DSP_L.json
  315. tile_type_HCLK_DSP_R.json
  316. tile_type_HCLK_FEEDTHRU_1.json
  317. tile_type_HCLK_FEEDTHRU_2.json
  318. tile_type_HCLK_FIFO_L.json
  319. tile_type_HCLK_GTX.json
  320. tile_type_HCLK_INT_INTERFACE.json
  321. tile_type_HCLK_IOB.json
  322. tile_type_HCLK_IOI3.json
  323. tile_type_HCLK_L.json
  324. tile_type_HCLK_L_BOT_UTURN.json
  325. tile_type_HCLK_R.json
  326. tile_type_HCLK_R_BOT_UTURN.json
  327. tile_type_HCLK_TERM.json
  328. tile_type_HCLK_TERM_GTX.json
  329. tile_type_HCLK_VBRK.json
  330. tile_type_HCLK_VFRAME.json
  331. tile_type_INT_FEEDTHRU_1.json
  332. tile_type_INT_FEEDTHRU_2.json
  333. tile_type_INT_INTERFACE_L.json
  334. tile_type_INT_INTERFACE_R.json
  335. tile_type_INT_L.json
  336. tile_type_INT_R.json
  337. tile_type_IO_INT_INTERFACE_L.json
  338. tile_type_IO_INT_INTERFACE_R.json
  339. tile_type_L_TERM_INT.json
  340. tile_type_LIOB33.json
  341. tile_type_LIOB33_SING.json
  342. tile_type_LIOI3.json
  343. tile_type_LIOI3_SING.json
  344. tile_type_LIOI3_TBYTESRC.json
  345. tile_type_LIOI3_TBYTETERM.json
  346. tile_type_MONITOR_BOT.json
  347. tile_type_MONITOR_MID.json
  348. tile_type_MONITOR_TOP.json
  349. tile_type_NULL.json
  350. tile_type_PCIE_BOT.json
  351. tile_type_PCIE_INT_INTERFACE_L.json
  352. tile_type_PCIE_INT_INTERFACE_R.json
  353. tile_type_PCIE_NULL.json
  354. tile_type_PCIE_TOP.json
  355. tile_type_R_TERM_INT.json
  356. tile_type_R_TERM_INT_GTX.json
  357. tile_type_RIOB33.json
  358. tile_type_RIOB33_SING.json
  359. tile_type_RIOI3.json
  360. tile_type_RIOI3_SING.json
  361. tile_type_RIOI3_TBYTESRC.json
  362. tile_type_RIOI3_TBYTETERM.json
  363. tile_type_T_TERM_INT.json
  364. tile_type_TERM_CMT.json
  365. tile_type_VBRK.json
  366. tile_type_VBRK_EXT.json
  367. tile_type_VFRAME.json