tree: 2437474c66c58fd3651c6de5c0a89c7a7b29ad11 [path history] [tgz]
  1. element_counts.csv
  2. mask_bram_l.block_ram.db
  3. mask_bram_l.db
  4. mask_bram_l.origin_info.db
  5. mask_bram_r.block_ram.db
  6. mask_bram_r.db
  7. mask_bram_r.origin_info.db
  8. mask_clbll_l.db
  9. mask_clbll_l.origin_info.db
  10. mask_clbll_r.db
  11. mask_clbll_r.origin_info.db
  12. mask_clblm_l.db
  13. mask_clblm_l.origin_info.db
  14. mask_clblm_r.db
  15. mask_clblm_r.origin_info.db
  16. mask_clk_bufg_bot_r.db
  17. mask_clk_bufg_rebuf.db
  18. mask_clk_bufg_top_r.db
  19. mask_clk_hrow_bot_r.db
  20. mask_clk_hrow_top_r.db
  21. mask_dsp_l.db
  22. mask_dsp_l.origin_info.db
  23. mask_dsp_r.db
  24. mask_dsp_r.origin_info.db
  25. mask_hclk_cmt.db
  26. mask_hclk_cmt_l.db
  27. mask_hclk_ioi.db
  28. mask_hclk_l.db
  29. mask_hclk_l.origin_info.db
  30. mask_hclk_r.db
  31. mask_hclk_r.origin_info.db
  32. mask_liob33.db
  33. mask_lioi3.db
  34. mask_lioi3_tbytesrc.db
  35. mask_lioi3_tbyteterm.db
  36. mask_riob33.db
  37. mask_rioi3.db
  38. mask_rioi3_tbytesrc.db
  39. mask_rioi3_tbyteterm.db
  40. ppips_bram_int_interface_l.db
  41. ppips_bram_int_interface_l.origin_info.db
  42. ppips_bram_int_interface_r.db
  43. ppips_bram_int_interface_r.origin_info.db
  44. ppips_bram_l.db
  45. ppips_bram_l.origin_info.db
  46. ppips_bram_r.db
  47. ppips_bram_r.origin_info.db
  48. ppips_brkh_int.db
  49. ppips_clbll_l.db
  50. ppips_clbll_l.origin_info.db
  51. ppips_clbll_r.db
  52. ppips_clbll_r.origin_info.db
  53. ppips_clblm_l.db
  54. ppips_clblm_l.origin_info.db
  55. ppips_clblm_r.db
  56. ppips_clblm_r.origin_info.db
  57. ppips_clk_bufg_bot_r.db
  58. ppips_clk_bufg_top_r.db
  59. ppips_clk_hrow_bot_r.db
  60. ppips_clk_hrow_top_r.db
  61. ppips_dsp_l.db
  62. ppips_dsp_l.origin_info.db
  63. ppips_dsp_r.db
  64. ppips_dsp_r.origin_info.db
  65. ppips_hclk_l.db
  66. ppips_hclk_l.origin_info.db
  67. ppips_hclk_r.db
  68. ppips_hclk_r.origin_info.db
  69. ppips_int_l.db
  70. ppips_int_l.origin_info.db
  71. ppips_int_r.db
  72. ppips_int_r.origin_info.db
  73. ppips_io_int_interface_l.db
  74. ppips_io_int_interface_r.db
  75. ppips_lioi3.db
  76. ppips_lioi3_sing.db
  77. ppips_lioi3_tbytesrc.db
  78. ppips_lioi3_tbyteterm.db
  79. segbits_bram_l.block_ram.db
  80. segbits_bram_l.block_ram.origin_info.db
  81. segbits_bram_l.db
  82. segbits_bram_l.origin_info.db
  83. segbits_bram_r.block_ram.db
  84. segbits_bram_r.block_ram.origin_info.db
  85. segbits_bram_r.db
  86. segbits_bram_r.origin_info.db
  87. segbits_cfg_center_mid.db
  88. segbits_cfg_center_mid.origin_info.db
  89. segbits_clbll_l.db
  90. segbits_clbll_l.origin_info.db
  91. segbits_clbll_r.db
  92. segbits_clbll_r.origin_info.db
  93. segbits_clblm_l.db
  94. segbits_clblm_l.origin_info.db
  95. segbits_clblm_r.db
  96. segbits_clblm_r.origin_info.db
  97. segbits_clk_bufg_bot_r.db
  98. segbits_clk_bufg_bot_r.origin_info.db
  99. segbits_clk_bufg_rebuf.db
  100. segbits_clk_bufg_rebuf.origin_info.db
  101. segbits_clk_bufg_top_r.db
  102. segbits_clk_bufg_top_r.origin_info.db
  103. segbits_clk_hrow_bot_r.db
  104. segbits_clk_hrow_bot_r.origin_info.db
  105. segbits_clk_hrow_top_r.db
  106. segbits_clk_hrow_top_r.origin_info.db
  107. segbits_cmt_top_l_upper_t.db
  108. segbits_cmt_top_l_upper_t.origin_info.db
  109. segbits_cmt_top_r_upper_t.db
  110. segbits_cmt_top_r_upper_t.origin_info.db
  111. segbits_dsp_l.db
  112. segbits_dsp_l.origin_info.db
  113. segbits_dsp_r.db
  114. segbits_dsp_r.origin_info.db
  115. segbits_hclk_cmt.db
  116. segbits_hclk_cmt.origin_info.db
  117. segbits_hclk_cmt_l.db
  118. segbits_hclk_cmt_l.origin_info.db
  119. segbits_hclk_ioi3.db
  120. segbits_hclk_ioi3.origin_info.db
  121. segbits_hclk_l.db
  122. segbits_hclk_l.origin_info.db
  123. segbits_hclk_r.db
  124. segbits_hclk_r.origin_info.db
  125. segbits_int_l.db
  126. segbits_int_l.origin_info.db
  127. segbits_int_r.db
  128. segbits_int_r.origin_info.db
  129. segbits_liob33.db
  130. segbits_liob33.origin_info.db
  131. segbits_lioi3.db
  132. segbits_lioi3.origin_info.db
  133. segbits_lioi3_tbytesrc.db
  134. segbits_lioi3_tbytesrc.origin_info.db
  135. segbits_lioi3_tbyteterm.db
  136. segbits_lioi3_tbyteterm.origin_info.db
  137. segbits_riob33.db
  138. segbits_riob33.origin_info.db
  139. segbits_rioi3.db
  140. segbits_rioi3.origin_info.db
  141. segbits_rioi3_tbytesrc.db
  142. segbits_rioi3_tbytesrc.origin_info.db
  143. segbits_rioi3_tbyteterm.db
  144. segbits_rioi3_tbyteterm.origin_info.db
  145. settings.sh
  146. site_type_BSCAN.json
  147. site_type_BUFGCTRL.json
  148. site_type_BUFHCE.json
  149. site_type_BUFIO.json
  150. site_type_BUFMRCE.json
  151. site_type_BUFR.json
  152. site_type_CAPTURE.json
  153. site_type_DCIRESET.json
  154. site_type_DNA_PORT.json
  155. site_type_DSP48E1.json
  156. site_type_EFUSE_USR.json
  157. site_type_FIFO18E1.json
  158. site_type_FRAME_ECC.json
  159. site_type_GTXE2_CHANNEL.json
  160. site_type_GTXE2_COMMON.json
  161. site_type_IBUFDS_GTE2.json
  162. site_type_ICAP.json
  163. site_type_IDELAYCTRL.json
  164. site_type_IDELAYE2.json
  165. site_type_IDELAYE2_FINEDELAY.json
  166. site_type_ILOGICE2.json
  167. site_type_ILOGICE3.json
  168. site_type_IN_FIFO.json
  169. site_type_IOB18.json
  170. site_type_IOB18M.json
  171. site_type_IOB18S.json
  172. site_type_IOB33.json
  173. site_type_IOB33M.json
  174. site_type_IOB33S.json
  175. site_type_IPAD.json
  176. site_type_MMCME2_ADV.json
  177. site_type_ODELAYE2.json
  178. site_type_OLOGICE2.json
  179. site_type_OLOGICE3.json
  180. site_type_OPAD.json
  181. site_type_OUT_FIFO.json
  182. site_type_PCIE_2_1.json
  183. site_type_PHASER_IN_PHY.json
  184. site_type_PHASER_OUT_PHY.json
  185. site_type_PHASER_REF.json
  186. site_type_PHY_CONTROL.json
  187. site_type_PLLE2_ADV.json
  188. site_type_PMV2.json
  189. site_type_RAMB18E1.json
  190. site_type_RAMBFIFO36E1.json
  191. site_type_SLICEL.json
  192. site_type_SLICEM.json
  193. site_type_STARTUP.json
  194. site_type_TIEOFF.json
  195. site_type_USR_ACCESS.json
  196. site_type_XADC.json
  197. tile_type_B_TERM_INT.json
  198. tile_type_BRAM_INT_INTERFACE_L.json
  199. tile_type_BRAM_INT_INTERFACE_R.json
  200. tile_type_BRAM_L.json
  201. tile_type_BRAM_R.json
  202. tile_type_BRKH_B_TERM_INT.json
  203. tile_type_BRKH_BRAM.json
  204. tile_type_BRKH_CLB.json
  205. tile_type_BRKH_CLK.json
  206. tile_type_BRKH_CMT.json
  207. tile_type_BRKH_DSP_L.json
  208. tile_type_BRKH_DSP_R.json
  209. tile_type_BRKH_GTX.json
  210. tile_type_BRKH_INT.json
  211. tile_type_BRKH_TERM_INT.json
  212. tile_type_CFG_CENTER_BOT.json
  213. tile_type_CFG_CENTER_MID.json
  214. tile_type_CFG_CENTER_TOP.json
  215. tile_type_CLBLL_L.json
  216. tile_type_CLBLL_R.json
  217. tile_type_CLBLM_L.json
  218. tile_type_CLBLM_R.json
  219. tile_type_CLK_BUFG_BOT_R.json
  220. tile_type_CLK_BUFG_REBUF.json
  221. tile_type_CLK_BUFG_TOP_R.json
  222. tile_type_CLK_FEED.json
  223. tile_type_CLK_HROW_BOT_R.json
  224. tile_type_CLK_HROW_TOP_R.json
  225. tile_type_CLK_MTBF2.json
  226. tile_type_CLK_PMV.json
  227. tile_type_CLK_PMV2.json
  228. tile_type_CLK_PMV2_SVT.json
  229. tile_type_CLK_PMVIOB.json
  230. tile_type_CLK_TERM.json
  231. tile_type_CMT_FIFO_L.json
  232. tile_type_CMT_FIFO_R.json
  233. tile_type_CMT_PMV.json
  234. tile_type_CMT_PMV_L.json
  235. tile_type_CMT_TOP_L_LOWER_B.json
  236. tile_type_CMT_TOP_L_LOWER_T.json
  237. tile_type_CMT_TOP_L_UPPER_B.json
  238. tile_type_CMT_TOP_L_UPPER_T.json
  239. tile_type_CMT_TOP_R_LOWER_B.json
  240. tile_type_CMT_TOP_R_LOWER_T.json
  241. tile_type_CMT_TOP_R_UPPER_B.json
  242. tile_type_CMT_TOP_R_UPPER_T.json
  243. tile_type_DSP_L.json
  244. tile_type_DSP_R.json
  245. tile_type_GTX_CHANNEL_0.json
  246. tile_type_GTX_CHANNEL_1.json
  247. tile_type_GTX_CHANNEL_2.json
  248. tile_type_GTX_CHANNEL_3.json
  249. tile_type_GTX_COMMON.json
  250. tile_type_GTX_INT_INTERFACE.json
  251. tile_type_HCLK_BRAM.json
  252. tile_type_HCLK_CLB.json
  253. tile_type_HCLK_CMT.json
  254. tile_type_HCLK_CMT_L.json
  255. tile_type_HCLK_DSP_L.json
  256. tile_type_HCLK_DSP_R.json
  257. tile_type_HCLK_FEEDTHRU_1.json
  258. tile_type_HCLK_FEEDTHRU_2.json
  259. tile_type_HCLK_FIFO_L.json
  260. tile_type_HCLK_GTX.json
  261. tile_type_HCLK_INT_INTERFACE.json
  262. tile_type_HCLK_IOB.json
  263. tile_type_HCLK_IOI.json
  264. tile_type_HCLK_IOI3.json
  265. tile_type_HCLK_L.json
  266. tile_type_HCLK_L_BOT_UTURN.json
  267. tile_type_HCLK_R.json
  268. tile_type_HCLK_R_BOT_UTURN.json
  269. tile_type_HCLK_TERM.json
  270. tile_type_HCLK_TERM_GTX.json
  271. tile_type_HCLK_VBRK.json
  272. tile_type_HCLK_VFRAME.json
  273. tile_type_INT_FEEDTHRU_1.json
  274. tile_type_INT_FEEDTHRU_2.json
  275. tile_type_INT_INTERFACE_L.json
  276. tile_type_INT_INTERFACE_R.json
  277. tile_type_INT_L.json
  278. tile_type_INT_R.json
  279. tile_type_IO_INT_INTERFACE_L.json
  280. tile_type_IO_INT_INTERFACE_R.json
  281. tile_type_L_TERM_INT.json
  282. tile_type_LIOB33.json
  283. tile_type_LIOB33_SING.json
  284. tile_type_LIOI3.json
  285. tile_type_LIOI3_SING.json
  286. tile_type_LIOI3_TBYTESRC.json
  287. tile_type_LIOI3_TBYTETERM.json
  288. tile_type_MONITOR_BOT_FUJI2.json
  289. tile_type_MONITOR_MID_FUJI2.json
  290. tile_type_MONITOR_TOP_FUJI2.json
  291. tile_type_NULL.json
  292. tile_type_PCIE_BOT.json
  293. tile_type_PCIE_INT_INTERFACE_L.json
  294. tile_type_PCIE_INT_INTERFACE_R.json
  295. tile_type_PCIE_NULL.json
  296. tile_type_PCIE_TOP.json
  297. tile_type_R_TERM_INT.json
  298. tile_type_R_TERM_INT_GTX.json
  299. tile_type_RIOB18.json
  300. tile_type_RIOB18_SING.json
  301. tile_type_RIOI.json
  302. tile_type_RIOI_SING.json
  303. tile_type_RIOI_TBYTESRC.json
  304. tile_type_RIOI_TBYTETERM.json
  305. tile_type_T_TERM_INT.json
  306. tile_type_TERM_CMT.json
  307. tile_type_VBRK.json
  308. tile_type_VBRK_EXT.json
  309. tile_type_VFRAME.json
  310. tileconn.json
  311. tilegrid.json
  312. xc7k70tfbg676-2.json
  313. xc7k70tfbg676-2.yaml
  314. xc7k70tfbg676-2_package_pins.csv