| # Project X-Ray - Xilinx Series 7 Bitstream Documentation |
| |
| [TOC] |
| |
| This repo contains the bitstream documentation database for Xilinx Series 7 |
| devices. |
| |
| [HTML version of the Xilinx Series 7 BitStream is available on https://symbiflow.github.io/prjxray-db](https://symbiflow.github.io/prjxray-db). |
| |
| This documentation was generated using the |
| [Project X-Ray tools](https://github.com/SymbiFlow/prjxray). |
| |
| Due to the long time taken to create this database yourself, a prebuilt version |
| is currently being provided by Tim 'mithro' Ansell <<me@mith.ro>>. The database |
| is intended to kept in sync with the current prjxray output, but due to the |
| manual nature required to create it, it may sometimes lag behind |
| what you could create yourself using those tools. |
| |
| Please try contacting [Tim](mailto:me@mith.ro) if you believe the database is |
| more than a week out of date. |
| |
| # Contributions |
| |
| As this repo is created from the prjxray output it doesn't accept external |
| contributions. You are encourage to generate and maintain your own versions of |
| this documentation if your needs are not being met by this repository. |
| |
| The |
| [htmlgen.py](https://github.com/SymbiFlow/prjxray/blob/master/htmlgen/htmlgen.py) |
| is kept in sync with the version in |
| [Project X-Ray](https://github.com/SymbiFlow/prjxray), please contribute all changes to |
| that file and then request Tim to rebuild the HTML output. |
| |
| # License |
| |
| These files are released under the very permissive [CC0 1.0 Universal](COPYING). |
| |
| # Details |
| |
| Last updated on Thu Apr 11 21:24:48 UTC 2019 (2019-04-11T21:24:48+00:00). |
| |
| Created using [Project X-Ray](https://github.com/SymbiFlow/prjxray) version [37c46aa](https://github.com/SymbiFlow/prjxray/commit/37c46aa7f7e8993e2359cc32f0d3d2978e28d24d). |
| |
| Latest commit was; |
| ``` |
| commit 37c46aa7f7e8993e2359cc32f0d3d2978e28d24d |
| Merge: ef63708 36177e9 |
| Author: litghost <537074+litghost@users.noreply.github.com> |
| Date: Thu Apr 11 10:00:05 2019 -0700 |
| |
| Merge pull request #773 from litghost/add_more_parts |
| |
| Add make targets to build additional outputs from each database. |
| ``` |
| |
| |
| |
| ## Database for [artix7](artix7/) |
| |
| ### Settings |
| |
| Created using following [settings/artix7.sh (sha256: 26e1ff93657132928a3b583c95347267d7afeb18a7b7036f0d7a6ea3367ae803)](https://github.com/SymbiFlow/prjxray/blob/37c46aa7f7e8993e2359cc32f0d3d2978e28d24d/settings/artix7.sh) |
| ```shell |
| export XRAY_DATABASE="artix7" |
| export XRAY_PART="xc7a50tfgg484-1" |
| export XRAY_ROI_FRAMES="0x00000000:0xffffffff" |
| |
| # All CLB's in part, all BRAM's in part, all DSP's in part. |
| # tcl queries IOB => don't bother adding |
| export XRAY_ROI_TILEGRID="SLICE_X0Y0:SLICE_X65Y99 SLICE_X0Y100:SLICE_X57Y149 RAMB18_X0Y0:RAMB18_X1Y59 RAMB36_X0Y0:RAMB36_X1Y29 RAMB18_X2Y0:RAMB18_X2Y39 RAMB36_X2Y0:RAMB36_X2Y19 DSP48_X0Y0:DSP48_X1Y59" |
| |
| # These settings must remain in sync |
| export XRAY_ROI="SLICE_X0Y100:SLICE_X35Y149 RAMB18_X0Y40:RAMB18_X0Y59 RAMB36_X0Y20:RAMB36_X0Y29 DSP48_X0Y40:DSP48_X0Y59 IOB_X0Y100:IOB_X0Y149" |
| # Most of CMT X0Y2. |
| export XRAY_ROI_GRID_X1="10" |
| export XRAY_ROI_GRID_X2="58" |
| # Include VBRK / VTERM |
| export XRAY_ROI_GRID_Y1="0" |
| export XRAY_ROI_GRID_Y2="51" |
| |
| export XRAY_PIN_00="E22" |
| export XRAY_PIN_01="D22" |
| export XRAY_PIN_02="E21" |
| export XRAY_PIN_03="D21" |
| export XRAY_PIN_04="G21" |
| export XRAY_PIN_05="G22" |
| export XRAY_PIN_06="F21" |
| |
| source $(dirname ${BASH_SOURCE[0]})/../utils/environment.sh |
| |
| ``` |
| |
| ### [Results](artix7/) |
| |
| Results have checksums; |
| |
| * [`8c6097166bf4b43969c49894dc464d1202f19683d7287a63ec709bc867d97105 ./artix7/element_counts.csv`](./artix7/element_counts.csv) |
| * [`6864d8edcef442cb129f83b9c5cd27be85d1b4bded8007bbeadcfc70717f8c48 ./artix7/gridinfo/grid-xc7a50tfgg484-1-db.txt`](./artix7/gridinfo/grid-xc7a50tfgg484-1-db.txt) |
| * [`2b18b3806f0e58024469eac1fe11749d04c6b035d2c2eafa7d2f30bf57173fa9 ./artix7/harness/README.md`](./artix7/harness/README.md) |
| * [`b270ca64ce9a15a0a2cde99523bab6e7ba748fbca804dd600ccb2c21a4224c85 ./artix7/harness/arty-a7/pmod/design.bit`](./artix7/harness/arty-a7/pmod/design.bit) |
| * [`134f6438b4dbbd511c823e80548970359e9468b2509e6614732ef2d591613c53 ./artix7/harness/arty-a7/pmod/design.dcp`](./artix7/harness/arty-a7/pmod/design.dcp) |
| * [`39236ffb06698077ee3f06edd6d64c0167793cefab4acda71f219a5cf3a20f76 ./artix7/harness/arty-a7/pmod/design.json`](./artix7/harness/arty-a7/pmod/design.json) |
| * [`fb90ad5fe10750f33d5802e1409ebc2406f7b0adab4bf6ef12b53c0e100b43ea ./artix7/harness/arty-a7/pmod/design.txt`](./artix7/harness/arty-a7/pmod/design.txt) |
| * [`5e4504596aaca26baf85309f7e223a9e45af410971af8c21b375f8151e9e6a53 ./artix7/harness/arty-a7/swbut/design.bit`](./artix7/harness/arty-a7/swbut/design.bit) |
| * [`6c20fcdb578030f58da1082539828d2785065a598f8ca9c2d14b49d3a6ebe834 ./artix7/harness/arty-a7/swbut/design.dcp`](./artix7/harness/arty-a7/swbut/design.dcp) |
| * [`63af3a7ba401751dc4b03cd2db38d5a4c6d20279117307138fee3fcb92ab1119 ./artix7/harness/arty-a7/swbut/design.json`](./artix7/harness/arty-a7/swbut/design.json) |
| * [`7d2429e6bcedecaf6f0db4f2f04860b5b6dc4b036495815a70edc4a036361310 ./artix7/harness/arty-a7/swbut/design.txt`](./artix7/harness/arty-a7/swbut/design.txt) |
| * [`e492a4c97f0d0a10cd07e0badb0b81f084e2d1a88ba06ab5b705e90ef6003076 ./artix7/harness/arty-a7/uart/design.bit`](./artix7/harness/arty-a7/uart/design.bit) |
| * [`e2dbcf498c7efe26d6a4ab14733bf3acfe51798bc1d2cd7f4e0e77fc95f40225 ./artix7/harness/arty-a7/uart/design.dcp`](./artix7/harness/arty-a7/uart/design.dcp) |
| * [`62586079b9ffd917ff5a5d4edcae802b161a7ed4f6af1c776731dcd10c87d096 ./artix7/harness/arty-a7/uart/design.json`](./artix7/harness/arty-a7/uart/design.json) |
| * [`0583aa7502ee7a0303510c524f5500d8e1b9598aa26016d3d0e4e9623bf8ab8d ./artix7/harness/arty-a7/uart/design.txt`](./artix7/harness/arty-a7/uart/design.txt) |
| * [`4f590875a7851c6d036ab171421b12100f517af74229ad47d8e21fdb6e09b09e ./artix7/harness/basys3/swbut/design.bit`](./artix7/harness/basys3/swbut/design.bit) |
| * [`0e48014931ae9a6010d6023b2a6e07e13ecf206be1076197b881161e67ced596 ./artix7/harness/basys3/swbut/design.dcp`](./artix7/harness/basys3/swbut/design.dcp) |
| * [`9bc42fedabb2f8ca69d0431b5e9c22f20c09b8fa5313dd252d0c32c32b6ad80b ./artix7/harness/basys3/swbut/design.json`](./artix7/harness/basys3/swbut/design.json) |
| * [`9df8eac3c11e57d81b4bf4a927ade787f881f0ef46c8ab610ca529f35e887689 ./artix7/harness/basys3/swbut/design.txt`](./artix7/harness/basys3/swbut/design.txt) |
| * [`fca753747fb1d583483e22980c4dae3a2de6451a326d46eab3581ea6f50f5b2d ./artix7/mask_bram_l.block_ram.db`](./artix7/mask_bram_l.block_ram.db) |
| * [`30b4cfe8b60ccde4423a0bd0d7ad5242bea58d54abf5d15601dd3f390465e821 ./artix7/mask_bram_l.db`](./artix7/mask_bram_l.db) |
| * [`fca753747fb1d583483e22980c4dae3a2de6451a326d46eab3581ea6f50f5b2d ./artix7/mask_bram_r.block_ram.db`](./artix7/mask_bram_r.block_ram.db) |
| * [`30b4cfe8b60ccde4423a0bd0d7ad5242bea58d54abf5d15601dd3f390465e821 ./artix7/mask_bram_r.db`](./artix7/mask_bram_r.db) |
| * [`4f37a37c925f92956b6fc010034529a4cc37698ecb7dd263a0fe737ad600cde7 ./artix7/mask_clbll_l.db`](./artix7/mask_clbll_l.db) |
| * [`4f37a37c925f92956b6fc010034529a4cc37698ecb7dd263a0fe737ad600cde7 ./artix7/mask_clbll_r.db`](./artix7/mask_clbll_r.db) |
| * [`5c274320294201935a3edccb43eca8e347ca1f0acded71ec388c794877d4b55b ./artix7/mask_clblm_l.db`](./artix7/mask_clblm_l.db) |
| * [`5c274320294201935a3edccb43eca8e347ca1f0acded71ec388c794877d4b55b ./artix7/mask_clblm_r.db`](./artix7/mask_clblm_r.db) |
| * [`a01322f2b03d6e7a29dd225905afe60347f157b8cd48a0e3ad0299a8776774cf ./artix7/mask_clk_bufg_bot_r.db`](./artix7/mask_clk_bufg_bot_r.db) |
| * [`fab582dba708b87f84b7d493cfc738317201a90cdf73a438a753f7512eee7dea ./artix7/mask_clk_bufg_rebuf.db`](./artix7/mask_clk_bufg_rebuf.db) |
| * [`a01322f2b03d6e7a29dd225905afe60347f157b8cd48a0e3ad0299a8776774cf ./artix7/mask_clk_bufg_top_r.db`](./artix7/mask_clk_bufg_top_r.db) |
| * [`492d7880ed2be3ce5479b397a88e012ea0c98e2ba667fa02d1d57acbdf10208f ./artix7/mask_clk_hrow_bot_r.db`](./artix7/mask_clk_hrow_bot_r.db) |
| * [`8065dd1943464a57b58323eb09fa9d34148e09a3cd0d7d750dc31166ac25164a ./artix7/mask_clk_hrow_top_r.db`](./artix7/mask_clk_hrow_top_r.db) |
| * [`aab2e5f20890d805b6a78db6e6fd68d6024a140ac5e960feb4162d7e49582ca8 ./artix7/mask_dsp_l.db`](./artix7/mask_dsp_l.db) |
| * [`aab2e5f20890d805b6a78db6e6fd68d6024a140ac5e960feb4162d7e49582ca8 ./artix7/mask_dsp_r.db`](./artix7/mask_dsp_r.db) |
| * [`be757fb834be7ff84a2873c0ac6621c909a5e85362b397667760edde86616f84 ./artix7/mask_hclk_cmt.db`](./artix7/mask_hclk_cmt.db) |
| * [`be757fb834be7ff84a2873c0ac6621c909a5e85362b397667760edde86616f84 ./artix7/mask_hclk_cmt_l.db`](./artix7/mask_hclk_cmt_l.db) |
| * [`76d1e0bd4b7ad492cf3fe8698b2b5f46f7dcc5fe446984e5dccae373c63edafd ./artix7/mask_hclk_l.db`](./artix7/mask_hclk_l.db) |
| * [`76d1e0bd4b7ad492cf3fe8698b2b5f46f7dcc5fe446984e5dccae373c63edafd ./artix7/mask_hclk_r.db`](./artix7/mask_hclk_r.db) |
| * [`5cd5494b388d2b4974b8126ee87dccdf45d67506a35ca6456442ec5886db273e ./artix7/mask_liob33.db`](./artix7/mask_liob33.db) |
| * [`5cd5494b388d2b4974b8126ee87dccdf45d67506a35ca6456442ec5886db273e ./artix7/mask_riob33.db`](./artix7/mask_riob33.db) |
| * [`d94e4d13df16da498224f0e94deaa310fbf471b6f9ec0ec8b2308fe62fa2eeaf ./artix7/ppips_bram_int_interface_l.db`](./artix7/ppips_bram_int_interface_l.db) |
| * [`b48d766ac6f9dd0e21280d3a04dd448ea39016143309c0c7867fc00d730a59ae ./artix7/ppips_bram_int_interface_r.db`](./artix7/ppips_bram_int_interface_r.db) |
| * [`2c68f8b128aeb79197013c3a1774522143a3507a8fa595a98c22dba2553fd5ce ./artix7/ppips_bram_l.db`](./artix7/ppips_bram_l.db) |
| * [`e58acdfa3cc740d2346dcb5d3a4c13434d459ebdc2ceb655dcb65fd631da4e4d ./artix7/ppips_bram_r.db`](./artix7/ppips_bram_r.db) |
| * [`be58cd551e870914cff515baabe383dc2655f34f5332c395ceb20ca25414dd63 ./artix7/ppips_brkh_int.db`](./artix7/ppips_brkh_int.db) |
| * [`b4ffdb01ca695c7d52f34b88508aef6d596377fcffd7fa5e197212acc4b00e9a ./artix7/ppips_clbll_l.db`](./artix7/ppips_clbll_l.db) |
| * [`bb75573609f56f082544644ecbb39125d023809340f7a30180cb9df823585009 ./artix7/ppips_clbll_r.db`](./artix7/ppips_clbll_r.db) |
| * [`a5357b0c018ac9c8c1f8cccf3c36b69f66ffd0e29039dfadb5a829caafd71a73 ./artix7/ppips_clblm_l.db`](./artix7/ppips_clblm_l.db) |
| * [`15424ecbd5816143def2dcb20fc9cfae5ec4e11a1a5cfc1848e71b2904a1a713 ./artix7/ppips_clblm_r.db`](./artix7/ppips_clblm_r.db) |
| * [`77fba62caedba6632e55834bbc40ff797181d8825e2f4d55987a04a38a95a6c0 ./artix7/ppips_clk_bufg_bot_r.db`](./artix7/ppips_clk_bufg_bot_r.db) |
| * [`15dba278ba801744b1ed558220334899fc098acd8e8aff20ab9761249a70e839 ./artix7/ppips_clk_bufg_top_r.db`](./artix7/ppips_clk_bufg_top_r.db) |
| * [`0dbef414182c3ef9054f4b9bc15c41c435d4bef2db30850add728d3de93749b8 ./artix7/ppips_clk_hrow_bot_r.db`](./artix7/ppips_clk_hrow_bot_r.db) |
| * [`8774624d8398b6000e80cefbcf5a5bac095e1c8650772c23f9b73448e0df5dbb ./artix7/ppips_clk_hrow_top_r.db`](./artix7/ppips_clk_hrow_top_r.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./artix7/ppips_dsp_l.db`](./artix7/ppips_dsp_l.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./artix7/ppips_dsp_r.db`](./artix7/ppips_dsp_r.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./artix7/ppips_hclk_l.db`](./artix7/ppips_hclk_l.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./artix7/ppips_hclk_r.db`](./artix7/ppips_hclk_r.db) |
| * [`d300ad4128a192e416a958471013b7554f141fd1f816715828b1e5a87838f18d ./artix7/ppips_int_l.db`](./artix7/ppips_int_l.db) |
| * [`46564e746b8d9e37bf46a68f2915bd1395efb68508d48d336a4dfb9342105285 ./artix7/ppips_int_r.db`](./artix7/ppips_int_r.db) |
| * [`916e3cea00e0bf8291ae24083696833dc1ff09f06562eccba6f2c73afd267ccb ./artix7/ppips_io_int_interface_l.db`](./artix7/ppips_io_int_interface_l.db) |
| * [`01526db954ab19098931424b8203c98803894b5563b5272fad665f3a75f0bb3b ./artix7/ppips_io_int_interface_r.db`](./artix7/ppips_io_int_interface_r.db) |
| * [`b155fbb8d964a2c3359a8420c0a6fd11aafccaeee92034e78cd16d2c56d4fcf9 ./artix7/ppips_lioi3.db`](./artix7/ppips_lioi3.db) |
| * [`fbe2cd3174bcec467e55558c497717480808149193c2ee80b51be78580356385 ./artix7/ppips_lioi3_sing.db`](./artix7/ppips_lioi3_sing.db) |
| * [`a28a9783e37b9768245b5e48bcf5876b1c625093544b2cce9408d4d3a846cdf3 ./artix7/ppips_lioi3_tbytesrc.db`](./artix7/ppips_lioi3_tbytesrc.db) |
| * [`f6bd429fc3898e869c3177de090df1c3344810738c9f9cf9babe40330b6a6f62 ./artix7/ppips_lioi3_tbyteterm.db`](./artix7/ppips_lioi3_tbyteterm.db) |
| * [`df11ac1c71eefa9c06abe06bc932d36368977543fba9666ee1b36e8417cd9f78 ./artix7/ppips_rioi3.db`](./artix7/ppips_rioi3.db) |
| * [`0c6263c13669085c09a61f25d68786d8f6c9b12b162fe2cd6c9a50114106f739 ./artix7/ppips_rioi3_sing.db`](./artix7/ppips_rioi3_sing.db) |
| * [`76c5978b345f11a9e46733a98875a6c419b75cf863a0e42d05e9ac94f9795bfc ./artix7/ppips_rioi3_tbytesrc.db`](./artix7/ppips_rioi3_tbytesrc.db) |
| * [`a9705cd0ffc8f972a6c0981d65b200a93f0b0069327133bad2aff80a6fce08ab ./artix7/ppips_rioi3_tbyteterm.db`](./artix7/ppips_rioi3_tbyteterm.db) |
| * [`8a2136e564ac92c06b226ef8715a122050fcabbb063f69eeaf46cfee5c89670f ./artix7/segbits_bram_l.block_ram.db`](./artix7/segbits_bram_l.block_ram.db) |
| * [`53d975bf59b763b9f764106db362ee7f6a753e9e72a5e2be334041658a5ea4ba ./artix7/segbits_bram_l.db`](./artix7/segbits_bram_l.db) |
| * [`a635577b55878c69df492c16b67a1dfbd1d4b786a695abe3e95a62d9540ecea5 ./artix7/segbits_bram_r.block_ram.db`](./artix7/segbits_bram_r.block_ram.db) |
| * [`b826680f3768091cb345ca6e62e3210ffb53a88ebdfdf4ca70f466f80cdacb1f ./artix7/segbits_bram_r.db`](./artix7/segbits_bram_r.db) |
| * [`ef6706ef033396c75469738223e66d1b5f38b832e27b5bb80f07efd571e28fb7 ./artix7/segbits_clbll_l.db`](./artix7/segbits_clbll_l.db) |
| * [`53c0ea2b05a2c4ddf2b6cce38073534d0c21b893fc5783dc777d97de2f2d6a9e ./artix7/segbits_clbll_r.db`](./artix7/segbits_clbll_r.db) |
| * [`e6459c01d0c1c7724fa02716103fd02a3e2a75d6b7326f4c937f158a264ffe85 ./artix7/segbits_clblm_l.db`](./artix7/segbits_clblm_l.db) |
| * [`5862b402a5e0a95be5f140112678fd39e1dc039bc339fda0e58111ca1ee9cb6e ./artix7/segbits_clblm_r.db`](./artix7/segbits_clblm_r.db) |
| * [`8d43bd09b2f7127ff9ed4803b92303d72c827d10b8b8d943c295343257b3e818 ./artix7/segbits_clk_bufg_bot_r.db`](./artix7/segbits_clk_bufg_bot_r.db) |
| * [`d094c55a62408bd79c2606a8fc10839b23d979e4e924ced0d4276d285db7810f ./artix7/segbits_clk_bufg_rebuf.db`](./artix7/segbits_clk_bufg_rebuf.db) |
| * [`6da9671e724a74e370b805ddd47e04eefd89daa0af4331e841720f7586d7eb2a ./artix7/segbits_clk_bufg_top_r.db`](./artix7/segbits_clk_bufg_top_r.db) |
| * [`4383aafad32f56f21404c5e6092811874f869c920e23a02b57da8c3e739fe2a9 ./artix7/segbits_clk_hrow_bot_r.db`](./artix7/segbits_clk_hrow_bot_r.db) |
| * [`972ea949e0bc360892d15ec0313d04e416a10a10fa594f3c361d37c357d59992 ./artix7/segbits_clk_hrow_top_r.db`](./artix7/segbits_clk_hrow_top_r.db) |
| * [`0c4a6e4bc385a8b3a43d05a06d8e87c8822cf2cc1742593167244ff194af4a5e ./artix7/segbits_dsp_l.db`](./artix7/segbits_dsp_l.db) |
| * [`b014d7e2b101b0b0540a539cb74a76ccbe3a494e225e8e510bf258a457b18685 ./artix7/segbits_dsp_r.db`](./artix7/segbits_dsp_r.db) |
| * [`82d4bb022bd108f44c09d871c9565891148f50bc1f538936e4c8655bf860b3b7 ./artix7/segbits_hclk_cmt.db`](./artix7/segbits_hclk_cmt.db) |
| * [`65c83253dc05bb790d71edc7f868f2f8c7e4d4c7817f073b9c853c1ac2e075b0 ./artix7/segbits_hclk_cmt_l.db`](./artix7/segbits_hclk_cmt_l.db) |
| * [`1c2c7229781a4a1d51bbbdeea76238b10497c043aaadf2a76de783041a201878 ./artix7/segbits_hclk_l.db`](./artix7/segbits_hclk_l.db) |
| * [`51288ec0be63172fcb2a12a92853150c62a21e894c2d42a2586046c462bf57a9 ./artix7/segbits_hclk_r.db`](./artix7/segbits_hclk_r.db) |
| * [`0ea44e8dfaf97ed200f30b2afe117e94e1a68bdb26af2e09e69e855414779520 ./artix7/segbits_int_l.db`](./artix7/segbits_int_l.db) |
| * [`1541c7832dd161c5b3b5745d08fe0ee6f92bfbd372b76c12f54afc032c888556 ./artix7/segbits_int_r.db`](./artix7/segbits_int_r.db) |
| * [`6ece030404b8fd09095382730639d261e0402e2c513bf07d9ec301a7311ceb7e ./artix7/segbits_liob33.db`](./artix7/segbits_liob33.db) |
| * [`32cae09e1ab0ba143570d702cfee2a3e04948c131f6511e6040c684638c67ed4 ./artix7/segbits_riob33.db`](./artix7/segbits_riob33.db) |
| * [`2bc07bf06b86af1985fe1c76da7bd6d858768dd6d9e99344a3c52d490b797cdb ./artix7/settings.sh`](./artix7/settings.sh) |
| * [`ac6ba9ad814503f0fdc1dabb4292aaccd1a2195f5b348276cfee12aed3d96a70 ./artix7/site_type_BSCAN.json`](./artix7/site_type_BSCAN.json) |
| * [`64724ba2f8af98df5e1d92e5c2da2e6d5a41eec6580f796405e271dadb4e63be ./artix7/site_type_BUFGCTRL.json`](./artix7/site_type_BUFGCTRL.json) |
| * [`aa69b29ddfd61c2e1c8a3f7136486baa7165eb7d5cf46868b5ac1ee5f776f381 ./artix7/site_type_BUFHCE.json`](./artix7/site_type_BUFHCE.json) |
| * [`01b21db6c1e64995e74cb8ad29e244692e26dc19f3038a70dec569094d699018 ./artix7/site_type_BUFIO.json`](./artix7/site_type_BUFIO.json) |
| * [`d16f5baaba75a01d36a60efa8c07c3fddca230e11b496871b04e8f3823e25916 ./artix7/site_type_BUFMRCE.json`](./artix7/site_type_BUFMRCE.json) |
| * [`a5a19be7b32a9e26182a2646cf8608e98e6ec4aaf285baea3ab039078788e109 ./artix7/site_type_BUFR.json`](./artix7/site_type_BUFR.json) |
| * [`a06dc3686fd07cb3b0776319d7fc91431ae6bb297f3783e827989aa0e9e97fe7 ./artix7/site_type_CAPTURE.json`](./artix7/site_type_CAPTURE.json) |
| * [`d6d095ed918127a2970d71c73cf668124fc000fcf37ad5eec803dbd9efbe9a17 ./artix7/site_type_DCIRESET.json`](./artix7/site_type_DCIRESET.json) |
| * [`012311ea9db7d8d1a88c6a8ad13bec27d8d77295f854aa74846f14b28cefcc75 ./artix7/site_type_DNA_PORT.json`](./artix7/site_type_DNA_PORT.json) |
| * [`7bc10b6943898ec18297f8e2d94c294ad4e9b4899f1ca5e84eba3f8c55eea436 ./artix7/site_type_DSP48E1.json`](./artix7/site_type_DSP48E1.json) |
| * [`04cfc4a15f1a10add40b9d1d10f987293f89e5e1aef984c3cd9ecca781b02909 ./artix7/site_type_EFUSE_USR.json`](./artix7/site_type_EFUSE_USR.json) |
| * [`81110626cbec259d60eb732ea135546bfc69b8f26d5f45d34a54e4e5fba30f9d ./artix7/site_type_FIFO18E1.json`](./artix7/site_type_FIFO18E1.json) |
| * [`bcd387295f597629764677c85865ef34930e82d84999843163f6415ee83470d9 ./artix7/site_type_FRAME_ECC.json`](./artix7/site_type_FRAME_ECC.json) |
| * [`a365c5520e0b00f6ef46612476ef32739d192fda3c301cb9f08fca8251a208ff ./artix7/site_type_GTPE2_CHANNEL.json`](./artix7/site_type_GTPE2_CHANNEL.json) |
| * [`def63184f334c273c7595a0da7756dca2450085961355e2709dbe01e8df012a9 ./artix7/site_type_GTPE2_COMMON.json`](./artix7/site_type_GTPE2_COMMON.json) |
| * [`5e34f05aa8e322840af38088d7d02816b48823a58fc9f6111c835ca08b2adc7c ./artix7/site_type_IBUFDS_GTE2.json`](./artix7/site_type_IBUFDS_GTE2.json) |
| * [`798da94b860f4cf48ef481cc0d647f46e7a2d3d7525c9300a671af5fa60b945a ./artix7/site_type_ICAP.json`](./artix7/site_type_ICAP.json) |
| * [`186345922143f4f47e397a9ad0d21f0f22f62210c77035c836f6fce7cfb82809 ./artix7/site_type_IDELAYCTRL.json`](./artix7/site_type_IDELAYCTRL.json) |
| * [`f6d9451dfc382946eb679d9c8de40fc5386a03ce710b471c5ff01561c5f9e84a ./artix7/site_type_IDELAYE2.json`](./artix7/site_type_IDELAYE2.json) |
| * [`3ea826fe33445c45d450e9600640830dde8a53d9ef0a32e8777d40842c25dc1e ./artix7/site_type_ILOGICE3.json`](./artix7/site_type_ILOGICE3.json) |
| * [`cffd17f7cce991b97d3af468b7462d8d3d72fa58423b715545a488ea5d9358e5 ./artix7/site_type_IN_FIFO.json`](./artix7/site_type_IN_FIFO.json) |
| * [`f615da462018bb36b8f28fb5dc5b3871581a7f33b6ed7704bd6787f586c2ab5c ./artix7/site_type_IOB33.json`](./artix7/site_type_IOB33.json) |
| * [`94d649164e7dd8724b49e2fe16a3d1ec8dd37994f6ee53fe52aff2fd61604737 ./artix7/site_type_IOB33M.json`](./artix7/site_type_IOB33M.json) |
| * [`f26fbc06575fa2d5abde06ec617af86bf386473dd97e6eff17c3929c87e889fb ./artix7/site_type_IOB33S.json`](./artix7/site_type_IOB33S.json) |
| * [`57f36c456bb9936328761cba5d98ac11aef35480951e4bbd01ebff87f281da35 ./artix7/site_type_IPAD.json`](./artix7/site_type_IPAD.json) |
| * [`57376aa966edcaf803d50d2a88fa393149915e35175f353375d09c0d41e10172 ./artix7/site_type_MMCME2_ADV.json`](./artix7/site_type_MMCME2_ADV.json) |
| * [`dc96b19ee6e827360c59e845bbf9ea559ea881226a0344fd932fa691d53a099d ./artix7/site_type_OLOGICE3.json`](./artix7/site_type_OLOGICE3.json) |
| * [`9f63a604386b226e8b4a28dd5e30bbe7c31da95775c2a8099ffe4c42dd7cc4cd ./artix7/site_type_OPAD.json`](./artix7/site_type_OPAD.json) |
| * [`c42ae28d4ea844f7bdd8eee4cafd9e5c5a1fff1b37206311cb0c9496f033b111 ./artix7/site_type_OUT_FIFO.json`](./artix7/site_type_OUT_FIFO.json) |
| * [`810612cdcf255d503f5b89f1e9de40dc726b16cb44ddff7b66ddebf7e4dee3a3 ./artix7/site_type_PCIE_2_1.json`](./artix7/site_type_PCIE_2_1.json) |
| * [`aeb8e6803ff63bb256644a65840ed39dcb64fccb3e01b44ae3479ae67f3341ac ./artix7/site_type_PHASER_IN_PHY.json`](./artix7/site_type_PHASER_IN_PHY.json) |
| * [`9d56de422cf14b2886d45d8b88a38ae159bd66630f98aea1acb1b1bd1321d52d ./artix7/site_type_PHASER_OUT_PHY.json`](./artix7/site_type_PHASER_OUT_PHY.json) |
| * [`eafe5543b8d36752a006772e9c1046331157c3f6fcaead642a1d93b6ec3c5caa ./artix7/site_type_PHASER_REF.json`](./artix7/site_type_PHASER_REF.json) |
| * [`684700d5ca81c587e4cb36b4e4832d09c295f2832c1fb6eadc8142b5d93451d3 ./artix7/site_type_PHY_CONTROL.json`](./artix7/site_type_PHY_CONTROL.json) |
| * [`d7d4341d7e1029cf59f70de76a59c627510c97a421964d1bb7d8e83bd918aa4f ./artix7/site_type_PLLE2_ADV.json`](./artix7/site_type_PLLE2_ADV.json) |
| * [`c01006fabc55d6b03265a3cc14576c9e28ccc37109331daef8cfdef3e726a029 ./artix7/site_type_PMV2.json`](./artix7/site_type_PMV2.json) |
| * [`261782a60bf2c6419f6b9bc41e830003cdd000c0b96b6c1b0a2713ba49f62348 ./artix7/site_type_RAMB18E1.json`](./artix7/site_type_RAMB18E1.json) |
| * [`df462af9d9cad14c6c500bbd01b30d0620320851692e5330ebb16b01250a81da ./artix7/site_type_RAMBFIFO36E1.json`](./artix7/site_type_RAMBFIFO36E1.json) |
| * [`5237c206807877602106a66506a2a73bc345fca67189ca7873bbfc6d8b52be53 ./artix7/site_type_SLICEL.json`](./artix7/site_type_SLICEL.json) |
| * [`a0af169e3f78dbb650a631ae61c2a7bbe175e48942729f4a5aed2351f1844439 ./artix7/site_type_SLICEM.json`](./artix7/site_type_SLICEM.json) |
| * [`bfd80f11db70c478290494ee936a172aac3ebd2351c9d82a0ebd10189a389c6f ./artix7/site_type_STARTUP.json`](./artix7/site_type_STARTUP.json) |
| * [`8e5baf846e629316cefb781c26c09b6a39ca509d03dd381967c3e92f429dbda3 ./artix7/site_type_TIEOFF.json`](./artix7/site_type_TIEOFF.json) |
| * [`4a52214be0712e1f5e3746c304d3299fd2bfa9e578956df1d6fcd6128614da12 ./artix7/site_type_USR_ACCESS.json`](./artix7/site_type_USR_ACCESS.json) |
| * [`f711f285e16aa11d4827ce8504e9413c8ccf87f9f86d108740738ae6cbb4f388 ./artix7/site_type_XADC.json`](./artix7/site_type_XADC.json) |
| * [`0bfdad62f04128ca4d469aa18b179cbd3bf78e40c6af50450c9ca85bfffd746f ./artix7/tile_type_BRAM_INT_INTERFACE_L.json`](./artix7/tile_type_BRAM_INT_INTERFACE_L.json) |
| * [`fd0b3b31118249e66193fa06633a58aa5d86820bed16d3f85497b886d2282845 ./artix7/tile_type_BRAM_INT_INTERFACE_R.json`](./artix7/tile_type_BRAM_INT_INTERFACE_R.json) |
| * [`23af85ab67092eb90d6b05c3bff539499494eaecb07b5063baa2aa494063a1ec ./artix7/tile_type_BRAM_L.json`](./artix7/tile_type_BRAM_L.json) |
| * [`3f080d03ca1d85aa81c2bae209cb401b8dcddd6e115ea8d16d735f2b4e6fc892 ./artix7/tile_type_BRAM_R.json`](./artix7/tile_type_BRAM_R.json) |
| * [`29e4879a736ff9d43178ba3887ba47b8f1190464dabf4eef7c8fe8d8d23647c2 ./artix7/tile_type_BRKH_BRAM.json`](./artix7/tile_type_BRKH_BRAM.json) |
| * [`fccd1abee620b9dc48534d82af9c84d7e4fb9f2fbeaa0d8bbef1ddab5d2d91c5 ./artix7/tile_type_BRKH_B_TERM_INT.json`](./artix7/tile_type_BRKH_B_TERM_INT.json) |
| * [`1adbede824487b01b77eed4443ff5434c9473a067dae3c620df3ccca800951ac ./artix7/tile_type_BRKH_CLB.json`](./artix7/tile_type_BRKH_CLB.json) |
| * [`d036cb35cb1bb3237b76f2e755fd3e5902e4588b03e565e4c01ecaa6429457fa ./artix7/tile_type_BRKH_CLK.json`](./artix7/tile_type_BRKH_CLK.json) |
| * [`ec60392fdf039d697e2de0b6c856d118a52ac7fb5bc50da206802f98a8967ea6 ./artix7/tile_type_BRKH_CMT.json`](./artix7/tile_type_BRKH_CMT.json) |
| * [`721f0a9fab25908b7ae0da9b94903a8ca1cb63d42dc5119d7b143309d27156fd ./artix7/tile_type_BRKH_DSP_L.json`](./artix7/tile_type_BRKH_DSP_L.json) |
| * [`db175274054c15c1cf7093a5117628fb30f27ddd50a29eabcc894e39236f95d8 ./artix7/tile_type_BRKH_DSP_R.json`](./artix7/tile_type_BRKH_DSP_R.json) |
| * [`47d42da782610f63cf7d094ca01bdd72d632b2f7f145a942cf2ceba1dfacdc53 ./artix7/tile_type_BRKH_GTX.json`](./artix7/tile_type_BRKH_GTX.json) |
| * [`68c36646e682266cb3aecade1627160b22112d72b5859f4aae3cd32df488422a ./artix7/tile_type_BRKH_INT.json`](./artix7/tile_type_BRKH_INT.json) |
| * [`0c29262ad6e32786f23197bd603491be251278fc1a0806527e8c164a4aa269aa ./artix7/tile_type_BRKH_TERM_INT.json`](./artix7/tile_type_BRKH_TERM_INT.json) |
| * [`b3700d8432a5ea4375fab4419bba143bc79dfd137a7110117ea085d79a2dd766 ./artix7/tile_type_B_TERM_INT.json`](./artix7/tile_type_B_TERM_INT.json) |
| * [`606581f9ab6d5c8ded71371ea6806e741b0739e5e32e69c503e4ebddc9544ec9 ./artix7/tile_type_CFG_CENTER_BOT.json`](./artix7/tile_type_CFG_CENTER_BOT.json) |
| * [`820a133d2cdab23ca7c64570daa391e3329826759fa82b2d12914878676274ce ./artix7/tile_type_CFG_CENTER_MID.json`](./artix7/tile_type_CFG_CENTER_MID.json) |
| * [`cc6b420c4804236a1b2928e5c86cfa6f6143b93843e40081d14c2bfd5d5e76a8 ./artix7/tile_type_CFG_CENTER_TOP.json`](./artix7/tile_type_CFG_CENTER_TOP.json) |
| * [`0cf36c0ab629c583c01ae9efa04093e0644da71b7b0dfbc175dfcf9ed56650d5 ./artix7/tile_type_CLBLL_L.json`](./artix7/tile_type_CLBLL_L.json) |
| * [`3607f851807c3b420d21b4fe0c0b26b91db19d1384ba39d45f4c771f7251544e ./artix7/tile_type_CLBLL_R.json`](./artix7/tile_type_CLBLL_R.json) |
| * [`8f91f81d6f549d0f728dbab89baca64bae44491b1b0df30ae6ca4193b6eed951 ./artix7/tile_type_CLBLM_L.json`](./artix7/tile_type_CLBLM_L.json) |
| * [`50812dbe755a110f8e33285728a9b565d46d1e71e76e63085fc6d1dea4f4dee7 ./artix7/tile_type_CLBLM_R.json`](./artix7/tile_type_CLBLM_R.json) |
| * [`3ab28fa68486317ac22e260c8d0ac81bcccc0b214cff21b66cda2cf0974d62bb ./artix7/tile_type_CLK_BUFG_BOT_R.json`](./artix7/tile_type_CLK_BUFG_BOT_R.json) |
| * [`7e7b949435c6724c886ab674148e7a241d7761b63d8b700fbeb2b3f4105329bb ./artix7/tile_type_CLK_BUFG_REBUF.json`](./artix7/tile_type_CLK_BUFG_REBUF.json) |
| * [`b1fdae383da0691975b3836a0a66fa566165de094e4bd416d664dc32f2d010c8 ./artix7/tile_type_CLK_BUFG_TOP_R.json`](./artix7/tile_type_CLK_BUFG_TOP_R.json) |
| * [`9900c1d7c03b75bb765c57b00b20fbefd09efeccb325afba72901b941d5db0de ./artix7/tile_type_CLK_FEED.json`](./artix7/tile_type_CLK_FEED.json) |
| * [`fa0923a2169819ecc93697c7255aef24e9dbee2a3c5d8c1df3f86956e0bc8b08 ./artix7/tile_type_CLK_HROW_BOT_R.json`](./artix7/tile_type_CLK_HROW_BOT_R.json) |
| * [`71f60f081cb9718ca95f3c004034dde427a1323ae4f71f94c68f3ecb961f1d2f ./artix7/tile_type_CLK_HROW_TOP_R.json`](./artix7/tile_type_CLK_HROW_TOP_R.json) |
| * [`3d200f97f5d0608d4577dcaf9ae59c34be18f4d1406aa71815d56327fc2a3564 ./artix7/tile_type_CLK_MTBF2.json`](./artix7/tile_type_CLK_MTBF2.json) |
| * [`0163ab8305f14d439e303fc072bf980549efd65c42494e468bc2b2e0bd3ff0a6 ./artix7/tile_type_CLK_PMV.json`](./artix7/tile_type_CLK_PMV.json) |
| * [`1e08a2d1f2c7e0ec12b0eec202c3759fbfc82fab01b9d0b5d1658299d8ac5506 ./artix7/tile_type_CLK_PMV2.json`](./artix7/tile_type_CLK_PMV2.json) |
| * [`bf52b93861ca5856dab593dde196a21ab8a9522b4eb58f13fe206beaba8c78f2 ./artix7/tile_type_CLK_PMV2_SVT.json`](./artix7/tile_type_CLK_PMV2_SVT.json) |
| * [`e7123b7dbeba2ebbf4a6ae04fb87bd114548befc9bb812d7bf4bee3401aa44fa ./artix7/tile_type_CLK_PMVIOB.json`](./artix7/tile_type_CLK_PMVIOB.json) |
| * [`42236b4ea5a40883822299aef2c5eb6ef2adb30c715145a9c36c5dd9e84e102e ./artix7/tile_type_CLK_TERM.json`](./artix7/tile_type_CLK_TERM.json) |
| * [`f985c5c1c1186eb314e1bd727b4195b88f69739fcb991efbafee963310b880f9 ./artix7/tile_type_CMT_FIFO_L.json`](./artix7/tile_type_CMT_FIFO_L.json) |
| * [`2209bb569563a8f748c4f54f28a5d870d9f873d1403cefc3c433174bb68d74f2 ./artix7/tile_type_CMT_FIFO_R.json`](./artix7/tile_type_CMT_FIFO_R.json) |
| * [`a3cce946e4fc43015cc0e0d5ed2305bbf1da982807029d4a72a5f3f76cb6e756 ./artix7/tile_type_CMT_PMV.json`](./artix7/tile_type_CMT_PMV.json) |
| * [`9207ebd19f94b6a3a9d8ea08f1fe78dcf592d3b5b5f541694a23d5dc1a9163e3 ./artix7/tile_type_CMT_PMV_L.json`](./artix7/tile_type_CMT_PMV_L.json) |
| * [`63d8187207a325d174e8d509014200531f3e11236e5064c2675871ca42fbbffa ./artix7/tile_type_CMT_TOP_L_LOWER_B.json`](./artix7/tile_type_CMT_TOP_L_LOWER_B.json) |
| * [`129c5c28dee6d7cc79263d280a391c07b5db326124ad1e973582643d9eadff3a ./artix7/tile_type_CMT_TOP_L_LOWER_T.json`](./artix7/tile_type_CMT_TOP_L_LOWER_T.json) |
| * [`3c645c7e32529af66b278c8c06734bb052d1be00ff801772d28147b1e62da2ff ./artix7/tile_type_CMT_TOP_L_UPPER_B.json`](./artix7/tile_type_CMT_TOP_L_UPPER_B.json) |
| * [`e008d249e1f1dafa57e4ac276826c60e24b7fd29ec4e5acafd078c0604631afc ./artix7/tile_type_CMT_TOP_L_UPPER_T.json`](./artix7/tile_type_CMT_TOP_L_UPPER_T.json) |
| * [`5b45ef7b0d9a366440da629a02330f51b6210652842fe723369e88f31df5d732 ./artix7/tile_type_CMT_TOP_R_LOWER_B.json`](./artix7/tile_type_CMT_TOP_R_LOWER_B.json) |
| * [`6260182cedf2857372997d8b9a9b3d28504931d1c7ff5176d718dd44935354f0 ./artix7/tile_type_CMT_TOP_R_LOWER_T.json`](./artix7/tile_type_CMT_TOP_R_LOWER_T.json) |
| * [`526212df7cbe1dbc56b70ac0dc0e93823cb238fcbf0c223dd82e88fac47e329f ./artix7/tile_type_CMT_TOP_R_UPPER_B.json`](./artix7/tile_type_CMT_TOP_R_UPPER_B.json) |
| * [`816d810709c3f54a33774c6a9acefe472cac1e5748d306e692524007b699ee35 ./artix7/tile_type_CMT_TOP_R_UPPER_T.json`](./artix7/tile_type_CMT_TOP_R_UPPER_T.json) |
| * [`4ddd2c3e96995a4acf4320877f3ab6ade22d9b475eb8b2e46cb64c325b92e386 ./artix7/tile_type_DSP_L.json`](./artix7/tile_type_DSP_L.json) |
| * [`b7f2ec5fcaf13becd7a73baa9271370dd80ccc24a1dc52bbe4ec2a450aabd7ad ./artix7/tile_type_DSP_R.json`](./artix7/tile_type_DSP_R.json) |
| * [`55706ad2e75bf24cfe41cf426c67466acce2b2497172a568425a4773c06a6ac9 ./artix7/tile_type_GTP_CHANNEL_0.json`](./artix7/tile_type_GTP_CHANNEL_0.json) |
| * [`6c202a87a8fa97d8112e4fe615cac588caa2dee1e618b8e4dab24ce7b200a01c ./artix7/tile_type_GTP_CHANNEL_1.json`](./artix7/tile_type_GTP_CHANNEL_1.json) |
| * [`c5232105dc223b5e37c1a9e4659ef7152ec231ac789d88eee5d289c97e058082 ./artix7/tile_type_GTP_CHANNEL_2.json`](./artix7/tile_type_GTP_CHANNEL_2.json) |
| * [`d1dc3bfea0f1e0479a689d9faf6bde331fdb8f26a767eb8be1e294cb7097fcd9 ./artix7/tile_type_GTP_CHANNEL_3.json`](./artix7/tile_type_GTP_CHANNEL_3.json) |
| * [`344448cb7390b6762f4d8ded53c1505ba74fede86e001d6afcd49bd96ab206b0 ./artix7/tile_type_GTP_COMMON.json`](./artix7/tile_type_GTP_COMMON.json) |
| * [`a76c8162ac133ae09ea8ad8dae00ca8d55452bb619416bc6684e56c990c3ce17 ./artix7/tile_type_GTP_INT_INTERFACE.json`](./artix7/tile_type_GTP_INT_INTERFACE.json) |
| * [`05eb17dc54b29fac95e4b2ac067139b528c1bc7f5cb78b672e6941a2966ec7bb ./artix7/tile_type_HCLK_BRAM.json`](./artix7/tile_type_HCLK_BRAM.json) |
| * [`307db3c561c03036e0460d24af8d435631bbacef7f81c0385f6179673d818d50 ./artix7/tile_type_HCLK_CLB.json`](./artix7/tile_type_HCLK_CLB.json) |
| * [`318c1785d2059191307e3e12efc326475b060106d048550bfd2a7a48381257d0 ./artix7/tile_type_HCLK_CMT.json`](./artix7/tile_type_HCLK_CMT.json) |
| * [`4af6db5c406dd683670c77fe2dbfcfd64b0d079e59e3082cfc4e578789cddf45 ./artix7/tile_type_HCLK_CMT_L.json`](./artix7/tile_type_HCLK_CMT_L.json) |
| * [`cbcd13d3b6a78888a73e22e1e33e56c80b5fcb23c4d1baf938b4b6daa02173f7 ./artix7/tile_type_HCLK_DSP_L.json`](./artix7/tile_type_HCLK_DSP_L.json) |
| * [`dacc707f9e2db1d6752f833cf0559536423baf915a848b3ff641373f4762793f ./artix7/tile_type_HCLK_DSP_R.json`](./artix7/tile_type_HCLK_DSP_R.json) |
| * [`c1d33fee3af7b2ba311bad50d6f8b771303ebd8241e617ec638b1fcb8d2c4ee0 ./artix7/tile_type_HCLK_FEEDTHRU_1.json`](./artix7/tile_type_HCLK_FEEDTHRU_1.json) |
| * [`0e991e5fc85e54835a7de8da8456ee1300d97d798fb12d16c521a9163500a20c ./artix7/tile_type_HCLK_FEEDTHRU_2.json`](./artix7/tile_type_HCLK_FEEDTHRU_2.json) |
| * [`1631fbdf6e3158d6e372508b55e32e3e638b270e0ca606359b4ad060f6337cea ./artix7/tile_type_HCLK_FIFO_L.json`](./artix7/tile_type_HCLK_FIFO_L.json) |
| * [`7897a72ad8df7a9561af0cd339d07b78fda2d8978771ca314edb158eb6bf21d5 ./artix7/tile_type_HCLK_GTX.json`](./artix7/tile_type_HCLK_GTX.json) |
| * [`6a66fa18fdad81ae738e61f650066415a2adc7d15b15ab87b5080faff3edb9e1 ./artix7/tile_type_HCLK_INT_INTERFACE.json`](./artix7/tile_type_HCLK_INT_INTERFACE.json) |
| * [`51fbaa9613664a08814f372c5791189ceb855720997334f55e52872cc6d4c46f ./artix7/tile_type_HCLK_IOB.json`](./artix7/tile_type_HCLK_IOB.json) |
| * [`5e15b63a15fd7864d838d448599718e5f82e8caafa8fd316eb19374e20c0d89c ./artix7/tile_type_HCLK_IOI3.json`](./artix7/tile_type_HCLK_IOI3.json) |
| * [`2c39172c06f58c30f92d140c6c7c060777b1b3f397a23b9cf82a41a656da82ef ./artix7/tile_type_HCLK_L.json`](./artix7/tile_type_HCLK_L.json) |
| * [`4270980b733f54a17a34b5259579fd2e42d38efeeb42518967362c599def37c2 ./artix7/tile_type_HCLK_L_BOT_UTURN.json`](./artix7/tile_type_HCLK_L_BOT_UTURN.json) |
| * [`782d62d7a78ca8282570a945739057b1801795271764120ff4f20696a36e9354 ./artix7/tile_type_HCLK_R.json`](./artix7/tile_type_HCLK_R.json) |
| * [`0bc6c1727558cc3dfe8ee21ec2f2b03e6f0f362d3948b2afed0217e1fd1b2d32 ./artix7/tile_type_HCLK_R_BOT_UTURN.json`](./artix7/tile_type_HCLK_R_BOT_UTURN.json) |
| * [`5b459ee856bd5417b0c61831120d27cebb7f5c6ae4952470bdc6dc6bad6c5b49 ./artix7/tile_type_HCLK_TERM.json`](./artix7/tile_type_HCLK_TERM.json) |
| * [`ccc33563773bbe6157c016214acd36162575086bfa661e8fa53885a58dd2d43d ./artix7/tile_type_HCLK_TERM_GTX.json`](./artix7/tile_type_HCLK_TERM_GTX.json) |
| * [`e706c7cf142b8e806283d3cf030f89e30149bad7b2f156e739e2f41247922792 ./artix7/tile_type_HCLK_VBRK.json`](./artix7/tile_type_HCLK_VBRK.json) |
| * [`acabe2c19ef9286451b67f889608af10b57c4149be795c7b9e96c700e673741a ./artix7/tile_type_HCLK_VFRAME.json`](./artix7/tile_type_HCLK_VFRAME.json) |
| * [`fe9a6b9109c94abc0860142566f1d6c292b5313f2ebe641dbd3f4d41671d05a2 ./artix7/tile_type_INT_FEEDTHRU_1.json`](./artix7/tile_type_INT_FEEDTHRU_1.json) |
| * [`1ff618718c404f469eed1fc7499db1a7bcfa90bf152b317b07511d1e070d7622 ./artix7/tile_type_INT_FEEDTHRU_2.json`](./artix7/tile_type_INT_FEEDTHRU_2.json) |
| * [`08db2bc2bc634b16af1988b445a896ffdbe75e2275647657dd44dbc9e436ec9f ./artix7/tile_type_INT_INTERFACE_L.json`](./artix7/tile_type_INT_INTERFACE_L.json) |
| * [`3f04e660e8a477ae99b5349c70d4bb420ed61c823ead17915a2900cc2210ad46 ./artix7/tile_type_INT_INTERFACE_R.json`](./artix7/tile_type_INT_INTERFACE_R.json) |
| * [`cc47a410209b8beb6140d0216de2b298547116a90f4cd7cf9674785e838f4c36 ./artix7/tile_type_INT_L.json`](./artix7/tile_type_INT_L.json) |
| * [`784502f54f667eb147924b061bc62829588d0e43673f32fd9d45113b6f740457 ./artix7/tile_type_INT_R.json`](./artix7/tile_type_INT_R.json) |
| * [`ffedd570b50dfb9fdd8d1e5065da17d53319cda7e849848ec88d352c767e2d59 ./artix7/tile_type_IO_INT_INTERFACE_L.json`](./artix7/tile_type_IO_INT_INTERFACE_L.json) |
| * [`cf049a6c528634761c6067610f50110102caadc782a33b855f4059df8ed064d9 ./artix7/tile_type_IO_INT_INTERFACE_R.json`](./artix7/tile_type_IO_INT_INTERFACE_R.json) |
| * [`cef7db2efcd92f19a0775c1833e0dd23b3dcb4d016fa8516b7e69c4a658ac630 ./artix7/tile_type_LIOB33.json`](./artix7/tile_type_LIOB33.json) |
| * [`49276f934ed32b1ec0b2eac19b67178119fbc5674d022eec1de5be08b07c4e72 ./artix7/tile_type_LIOB33_SING.json`](./artix7/tile_type_LIOB33_SING.json) |
| * [`801140f147650d8b443e46fa51793181c264bc8d92c8767bb875ae838ae4a062 ./artix7/tile_type_LIOI3.json`](./artix7/tile_type_LIOI3.json) |
| * [`c2932bc581e8b38a7373f5a9a555263ce7aa9d96ad9c4e5675c599b11d86b67b ./artix7/tile_type_LIOI3_SING.json`](./artix7/tile_type_LIOI3_SING.json) |
| * [`b69c2ea84f06bfed085b2f50e1f4dd43033dd5f34ca19e67da42d6c80317cd23 ./artix7/tile_type_LIOI3_TBYTESRC.json`](./artix7/tile_type_LIOI3_TBYTESRC.json) |
| * [`44cf5e287a63932e7b6809f4fc3245ff380ae8ad24ed9b53b8cee45b719517b6 ./artix7/tile_type_LIOI3_TBYTETERM.json`](./artix7/tile_type_LIOI3_TBYTETERM.json) |
| * [`5c6ddebe6aef58fa126d2f1121f2c415737d513b90169c393dfcbe2655251716 ./artix7/tile_type_L_TERM_INT.json`](./artix7/tile_type_L_TERM_INT.json) |
| * [`c5d8bb0e44590428962e16d5083ce84e0d86d7e44e41670227b3e65ef5e65ecb ./artix7/tile_type_MONITOR_BOT.json`](./artix7/tile_type_MONITOR_BOT.json) |
| * [`238155141a620716bff1310b0cc61564b22764b06b87b1de89a018ddbebe41a3 ./artix7/tile_type_MONITOR_MID.json`](./artix7/tile_type_MONITOR_MID.json) |
| * [`1b1d6fc6914a51b801b8a1ea24adb9a8093b2a8b070dbfab0be5966a043489a9 ./artix7/tile_type_MONITOR_TOP.json`](./artix7/tile_type_MONITOR_TOP.json) |
| * [`880cdcd99af7ea01e4ee142860e0900c6c3503da3b3582837fedba1a2cafa852 ./artix7/tile_type_NULL.json`](./artix7/tile_type_NULL.json) |
| * [`a1553083f3d3f703f6fdc25b2e1b5b62e2a68d4371c4edcf3cb3aa8d8e99ec87 ./artix7/tile_type_PCIE_BOT.json`](./artix7/tile_type_PCIE_BOT.json) |
| * [`3e075fbce2e39f99504b7e799de6aa1146aafe32d545b0c7ba791d93751ac58b ./artix7/tile_type_PCIE_INT_INTERFACE_L.json`](./artix7/tile_type_PCIE_INT_INTERFACE_L.json) |
| * [`7640289b2a0635eba0172f8e37e452a53912620fe00572cf57fe4ac4ae0db2be ./artix7/tile_type_PCIE_INT_INTERFACE_R.json`](./artix7/tile_type_PCIE_INT_INTERFACE_R.json) |
| * [`944d9c69913b23cac150f0c80c14284d57fab43f69202a6cc63afaddce23221b ./artix7/tile_type_PCIE_NULL.json`](./artix7/tile_type_PCIE_NULL.json) |
| * [`dc29a2768d5aafea58e032f3d303e34e5e7dae896979ef2fc2fe70165b42cf3e ./artix7/tile_type_PCIE_TOP.json`](./artix7/tile_type_PCIE_TOP.json) |
| * [`a01a9bfa1d6ac7762d56b57487ab1f4efa8f53e77c6fa452adfa3aff120811fb ./artix7/tile_type_RIOB33.json`](./artix7/tile_type_RIOB33.json) |
| * [`66ea3a8940b40915699e7e2fa37b3d65403e7f5d51afe0daf14537e662da9385 ./artix7/tile_type_RIOB33_SING.json`](./artix7/tile_type_RIOB33_SING.json) |
| * [`96029c4d8a29149b3aa063bbcd3a64bbbf28f987e8e491d2630f7e78d47354b2 ./artix7/tile_type_RIOI3.json`](./artix7/tile_type_RIOI3.json) |
| * [`6c8c8745a8bcd8ebcf6396dfda55fd7b958b2de19ac1a926e412716b7d8dd2b2 ./artix7/tile_type_RIOI3_SING.json`](./artix7/tile_type_RIOI3_SING.json) |
| * [`89b4d83a435609119ca878a4cdbfc3fc31c8c30d66459bf3d84b4c8c012c1139 ./artix7/tile_type_RIOI3_TBYTESRC.json`](./artix7/tile_type_RIOI3_TBYTESRC.json) |
| * [`e188cfd52a8cd3edb869bd29a02e95e8cfc06688727982f9c364c54b5d20c409 ./artix7/tile_type_RIOI3_TBYTETERM.json`](./artix7/tile_type_RIOI3_TBYTETERM.json) |
| * [`16627ffc9c74acf89474ad03993367d2210f40d4ab07a8c71c98d9ad652f2ca8 ./artix7/tile_type_R_TERM_INT.json`](./artix7/tile_type_R_TERM_INT.json) |
| * [`fd664b568212b0479342de300f8efc07e8b521960fbb4b9abacb71336fca773b ./artix7/tile_type_R_TERM_INT_GTX.json`](./artix7/tile_type_R_TERM_INT_GTX.json) |
| * [`19503481fb531f7ddc5f92fdc7c97a817ce1cf550e128604041c771f2234b7fa ./artix7/tile_type_TERM_CMT.json`](./artix7/tile_type_TERM_CMT.json) |
| * [`f5ebbeee5575e5fbc1fb5d532f021e4ee8647de21b3874caac655d8c849a9ff3 ./artix7/tile_type_T_TERM_INT.json`](./artix7/tile_type_T_TERM_INT.json) |
| * [`dee783006fa5b5964d20457323cad59171a60397d730e9fe0840389587695727 ./artix7/tile_type_VBRK.json`](./artix7/tile_type_VBRK.json) |
| * [`04409fb1eb974ee5af7e8115bf16aacfd4bda61094c7c4644cc020762a45f6c8 ./artix7/tile_type_VBRK_EXT.json`](./artix7/tile_type_VBRK_EXT.json) |
| * [`004efcd7f9e172780ca7b8c379ec329bcfc52f86beaa1d997f41dbef7ac4a242 ./artix7/tile_type_VFRAME.json`](./artix7/tile_type_VFRAME.json) |
| * [`1604d48580815e26069c2b4909fcc50e8e8f974ad0beb349ced2329c302bb06b ./artix7/tileconn.json`](./artix7/tileconn.json) |
| * [`08912d58cee8057f7557c307700162b1d3437766adeb95a79980bf3602a7a779 ./artix7/tilegrid.json`](./artix7/tilegrid.json) |
| * [`4c20ee41ea32668919b7d91a7fabe38960e0ee4d5b3b83f1d18102d48895bf1c ./artix7/xc7a35tcpg236-1.json`](./artix7/xc7a35tcpg236-1.json) |
| * [`ef0724733da87455426a0f833642d96e9d206d047f4eb97072c3093f80c40d7d ./artix7/xc7a35tcpg236-1.yaml`](./artix7/xc7a35tcpg236-1.yaml) |
| * [`ac928ee3c50e91facacb4173fdf70384f56e046bb14581bf75f760e406fe4f78 ./artix7/xc7a35tcpg236-1_package_pins.csv`](./artix7/xc7a35tcpg236-1_package_pins.csv) |
| * [`4c20ee41ea32668919b7d91a7fabe38960e0ee4d5b3b83f1d18102d48895bf1c ./artix7/xc7a35tcsg324-1.json`](./artix7/xc7a35tcsg324-1.json) |
| * [`ef0724733da87455426a0f833642d96e9d206d047f4eb97072c3093f80c40d7d ./artix7/xc7a35tcsg324-1.yaml`](./artix7/xc7a35tcsg324-1.yaml) |
| * [`9081f5280a2f1fc30b67cb91af72950be624c37111bde3a341997c059e77d2b1 ./artix7/xc7a35tcsg324-1_package_pins.csv`](./artix7/xc7a35tcsg324-1_package_pins.csv) |
| * [`bee2616f3f373813e4539952e1185dd6e39fb0aec4cd2a2b42451318c6cd2de0 ./artix7/xc7a50tfgg484-1.json`](./artix7/xc7a50tfgg484-1.json) |
| * [`41c360b1e2f7e08b9051f1160a34954ce4c05a445a07f226f1f4059caf1fa1d3 ./artix7/xc7a50tfgg484-1.yaml`](./artix7/xc7a50tfgg484-1.yaml) |
| * [`54421233f3cb478ec70b933afab73363b22d3cc68dc1332e2f63e3a131160bd5 ./artix7/xc7a50tfgg484-1_package_pins.csv`](./artix7/xc7a50tfgg484-1_package_pins.csv) |
| |
| |
| ## Database for [kintex7](kintex7/) |
| |
| ### Settings |
| |
| Created using following [settings/kintex7.sh (sha256: 794538b550d399255cbafeeb1b5ff183dc66ebc6cd8dc0f725e3f6a3977f757d)](https://github.com/SymbiFlow/prjxray/blob/37c46aa7f7e8993e2359cc32f0d3d2978e28d24d/settings/kintex7.sh) |
| ```shell |
| export XRAY_DATABASE="kintex7" |
| export XRAY_PART="xc7k70tfbg676-2" |
| export XRAY_ROI_FRAMES="0x00000000:0xffffffff" |
| |
| # FIXME: make entire part |
| export XRAY_ROI_TILEGRID="SLICE_X0Y50:SLICE_X19Y99 DSP48_X0Y20:DSP48_X0Y39 RAMB18_X0Y20:RAMB18_X0Y39 RAMB36_X0Y10:RAMB36_X0Y19" |
| |
| # These settings must remain in sync |
| export XRAY_ROI="SLICE_X0Y50:SLICE_X19Y99 DSP48_X0Y20:DSP48_X0Y39 RAMB18_X0Y20:RAMB18_X0Y39 RAMB36_X0Y10:RAMB36_X0Y19 IOB_X0Y50:IOB_X0Y99" |
| # Part of CMT X0Y1 |
| export XRAY_ROI_GRID_X1="0" |
| export XRAY_ROI_GRID_X2="38" |
| # Include VBRK / VTERM |
| export XRAY_ROI_GRID_Y1="104" |
| export XRAY_ROI_GRID_Y2="156" |
| |
| # Choose the first N High Range I/Os |
| export XRAY_PIN_00="K25" |
| export XRAY_PIN_01="K26" |
| export XRAY_PIN_02="L24" |
| export XRAY_PIN_03="L25" |
| export XRAY_PIN_04="M19" |
| export XRAY_PIN_05="M20" |
| export XRAY_PIN_06="M21" |
| |
| source $(dirname ${BASH_SOURCE[0]})/../utils/environment.sh |
| |
| ``` |
| |
| ### [Results](kintex7/) |
| |
| Results have checksums; |
| |
| * [`d154b5fc62e0ae17091b880050a7302f4f75fed1008967eb88e2c1e3f13f4792 ./kintex7/element_counts.csv`](./kintex7/element_counts.csv) |
| * [`fca753747fb1d583483e22980c4dae3a2de6451a326d46eab3581ea6f50f5b2d ./kintex7/mask_bram_l.block_ram.db`](./kintex7/mask_bram_l.block_ram.db) |
| * [`0a946160b7cc00081d0a4f0b115bef85db44332b89e93ac5dc1f715a98f2272e ./kintex7/mask_bram_l.db`](./kintex7/mask_bram_l.db) |
| * [`fca753747fb1d583483e22980c4dae3a2de6451a326d46eab3581ea6f50f5b2d ./kintex7/mask_bram_r.block_ram.db`](./kintex7/mask_bram_r.block_ram.db) |
| * [`0a946160b7cc00081d0a4f0b115bef85db44332b89e93ac5dc1f715a98f2272e ./kintex7/mask_bram_r.db`](./kintex7/mask_bram_r.db) |
| * [`4f37a37c925f92956b6fc010034529a4cc37698ecb7dd263a0fe737ad600cde7 ./kintex7/mask_clbll_l.db`](./kintex7/mask_clbll_l.db) |
| * [`4f37a37c925f92956b6fc010034529a4cc37698ecb7dd263a0fe737ad600cde7 ./kintex7/mask_clbll_r.db`](./kintex7/mask_clbll_r.db) |
| * [`5c274320294201935a3edccb43eca8e347ca1f0acded71ec388c794877d4b55b ./kintex7/mask_clblm_l.db`](./kintex7/mask_clblm_l.db) |
| * [`5c274320294201935a3edccb43eca8e347ca1f0acded71ec388c794877d4b55b ./kintex7/mask_clblm_r.db`](./kintex7/mask_clblm_r.db) |
| * [`29563155174d4fd17fdf6ae7b4dcd3d83bfc55cba15355bbc751137544c1f0ef ./kintex7/mask_clk_bufg_bot_r.db`](./kintex7/mask_clk_bufg_bot_r.db) |
| * [`fab582dba708b87f84b7d493cfc738317201a90cdf73a438a753f7512eee7dea ./kintex7/mask_clk_bufg_rebuf.db`](./kintex7/mask_clk_bufg_rebuf.db) |
| * [`29563155174d4fd17fdf6ae7b4dcd3d83bfc55cba15355bbc751137544c1f0ef ./kintex7/mask_clk_bufg_top_r.db`](./kintex7/mask_clk_bufg_top_r.db) |
| * [`35706a9a25d1213c7143628e41ca5bf3633f37925b20b2f00b1f94a80e879115 ./kintex7/mask_clk_hrow_bot_r.db`](./kintex7/mask_clk_hrow_bot_r.db) |
| * [`35706a9a25d1213c7143628e41ca5bf3633f37925b20b2f00b1f94a80e879115 ./kintex7/mask_clk_hrow_top_r.db`](./kintex7/mask_clk_hrow_top_r.db) |
| * [`aab2e5f20890d805b6a78db6e6fd68d6024a140ac5e960feb4162d7e49582ca8 ./kintex7/mask_dsp_l.db`](./kintex7/mask_dsp_l.db) |
| * [`aab2e5f20890d805b6a78db6e6fd68d6024a140ac5e960feb4162d7e49582ca8 ./kintex7/mask_dsp_r.db`](./kintex7/mask_dsp_r.db) |
| * [`76d1e0bd4b7ad492cf3fe8698b2b5f46f7dcc5fe446984e5dccae373c63edafd ./kintex7/mask_hclk_l.db`](./kintex7/mask_hclk_l.db) |
| * [`76d1e0bd4b7ad492cf3fe8698b2b5f46f7dcc5fe446984e5dccae373c63edafd ./kintex7/mask_hclk_r.db`](./kintex7/mask_hclk_r.db) |
| * [`600de8d5c49959cb5d38b1ec30a179913d636892e4fabaf3de8dedeaa1b6d1e1 ./kintex7/mask_liob33.db`](./kintex7/mask_liob33.db) |
| * [`600de8d5c49959cb5d38b1ec30a179913d636892e4fabaf3de8dedeaa1b6d1e1 ./kintex7/mask_riob33.db`](./kintex7/mask_riob33.db) |
| * [`d94e4d13df16da498224f0e94deaa310fbf471b6f9ec0ec8b2308fe62fa2eeaf ./kintex7/ppips_bram_int_interface_l.db`](./kintex7/ppips_bram_int_interface_l.db) |
| * [`b48d766ac6f9dd0e21280d3a04dd448ea39016143309c0c7867fc00d730a59ae ./kintex7/ppips_bram_int_interface_r.db`](./kintex7/ppips_bram_int_interface_r.db) |
| * [`2c68f8b128aeb79197013c3a1774522143a3507a8fa595a98c22dba2553fd5ce ./kintex7/ppips_bram_l.db`](./kintex7/ppips_bram_l.db) |
| * [`e58acdfa3cc740d2346dcb5d3a4c13434d459ebdc2ceb655dcb65fd631da4e4d ./kintex7/ppips_bram_r.db`](./kintex7/ppips_bram_r.db) |
| * [`b4ffdb01ca695c7d52f34b88508aef6d596377fcffd7fa5e197212acc4b00e9a ./kintex7/ppips_clbll_l.db`](./kintex7/ppips_clbll_l.db) |
| * [`bb75573609f56f082544644ecbb39125d023809340f7a30180cb9df823585009 ./kintex7/ppips_clbll_r.db`](./kintex7/ppips_clbll_r.db) |
| * [`a5357b0c018ac9c8c1f8cccf3c36b69f66ffd0e29039dfadb5a829caafd71a73 ./kintex7/ppips_clblm_l.db`](./kintex7/ppips_clblm_l.db) |
| * [`15424ecbd5816143def2dcb20fc9cfae5ec4e11a1a5cfc1848e71b2904a1a713 ./kintex7/ppips_clblm_r.db`](./kintex7/ppips_clblm_r.db) |
| * [`77fba62caedba6632e55834bbc40ff797181d8825e2f4d55987a04a38a95a6c0 ./kintex7/ppips_clk_bufg_bot_r.db`](./kintex7/ppips_clk_bufg_bot_r.db) |
| * [`15dba278ba801744b1ed558220334899fc098acd8e8aff20ab9761249a70e839 ./kintex7/ppips_clk_bufg_top_r.db`](./kintex7/ppips_clk_bufg_top_r.db) |
| * [`0dbef414182c3ef9054f4b9bc15c41c435d4bef2db30850add728d3de93749b8 ./kintex7/ppips_clk_hrow_bot_r.db`](./kintex7/ppips_clk_hrow_bot_r.db) |
| * [`8774624d8398b6000e80cefbcf5a5bac095e1c8650772c23f9b73448e0df5dbb ./kintex7/ppips_clk_hrow_top_r.db`](./kintex7/ppips_clk_hrow_top_r.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./kintex7/ppips_dsp_l.db`](./kintex7/ppips_dsp_l.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./kintex7/ppips_dsp_r.db`](./kintex7/ppips_dsp_r.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./kintex7/ppips_hclk_l.db`](./kintex7/ppips_hclk_l.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./kintex7/ppips_hclk_r.db`](./kintex7/ppips_hclk_r.db) |
| * [`d300ad4128a192e416a958471013b7554f141fd1f816715828b1e5a87838f18d ./kintex7/ppips_int_l.db`](./kintex7/ppips_int_l.db) |
| * [`46564e746b8d9e37bf46a68f2915bd1395efb68508d48d336a4dfb9342105285 ./kintex7/ppips_int_r.db`](./kintex7/ppips_int_r.db) |
| * [`916e3cea00e0bf8291ae24083696833dc1ff09f06562eccba6f2c73afd267ccb ./kintex7/ppips_io_int_interface_l.db`](./kintex7/ppips_io_int_interface_l.db) |
| * [`01526db954ab19098931424b8203c98803894b5563b5272fad665f3a75f0bb3b ./kintex7/ppips_io_int_interface_r.db`](./kintex7/ppips_io_int_interface_r.db) |
| * [`b155fbb8d964a2c3359a8420c0a6fd11aafccaeee92034e78cd16d2c56d4fcf9 ./kintex7/ppips_lioi3.db`](./kintex7/ppips_lioi3.db) |
| * [`fbe2cd3174bcec467e55558c497717480808149193c2ee80b51be78580356385 ./kintex7/ppips_lioi3_sing.db`](./kintex7/ppips_lioi3_sing.db) |
| * [`a28a9783e37b9768245b5e48bcf5876b1c625093544b2cce9408d4d3a846cdf3 ./kintex7/ppips_lioi3_tbytesrc.db`](./kintex7/ppips_lioi3_tbytesrc.db) |
| * [`8a2136e564ac92c06b226ef8715a122050fcabbb063f69eeaf46cfee5c89670f ./kintex7/segbits_bram_l.block_ram.db`](./kintex7/segbits_bram_l.block_ram.db) |
| * [`53d975bf59b763b9f764106db362ee7f6a753e9e72a5e2be334041658a5ea4ba ./kintex7/segbits_bram_l.db`](./kintex7/segbits_bram_l.db) |
| * [`a635577b55878c69df492c16b67a1dfbd1d4b786a695abe3e95a62d9540ecea5 ./kintex7/segbits_bram_r.block_ram.db`](./kintex7/segbits_bram_r.block_ram.db) |
| * [`b826680f3768091cb345ca6e62e3210ffb53a88ebdfdf4ca70f466f80cdacb1f ./kintex7/segbits_bram_r.db`](./kintex7/segbits_bram_r.db) |
| * [`ef6706ef033396c75469738223e66d1b5f38b832e27b5bb80f07efd571e28fb7 ./kintex7/segbits_clbll_l.db`](./kintex7/segbits_clbll_l.db) |
| * [`53c0ea2b05a2c4ddf2b6cce38073534d0c21b893fc5783dc777d97de2f2d6a9e ./kintex7/segbits_clbll_r.db`](./kintex7/segbits_clbll_r.db) |
| * [`e6459c01d0c1c7724fa02716103fd02a3e2a75d6b7326f4c937f158a264ffe85 ./kintex7/segbits_clblm_l.db`](./kintex7/segbits_clblm_l.db) |
| * [`5862b402a5e0a95be5f140112678fd39e1dc039bc339fda0e58111ca1ee9cb6e ./kintex7/segbits_clblm_r.db`](./kintex7/segbits_clblm_r.db) |
| * [`b5e65e9c7e93a2d83f34aacf0e052154324afed5d6ba77008e3f79dedc8caf32 ./kintex7/segbits_clk_bufg_bot_r.db`](./kintex7/segbits_clk_bufg_bot_r.db) |
| * [`d094c55a62408bd79c2606a8fc10839b23d979e4e924ced0d4276d285db7810f ./kintex7/segbits_clk_bufg_rebuf.db`](./kintex7/segbits_clk_bufg_rebuf.db) |
| * [`0124518f026fd29ff7d48e9fe12fd9c5b189e8ca9e1257dc9decb714ee45c27b ./kintex7/segbits_clk_bufg_top_r.db`](./kintex7/segbits_clk_bufg_top_r.db) |
| * [`27a5fbf2b32339d7ffbc4e10c48cde7eeb4370834dcf72169616bf7f4ae58ebf ./kintex7/segbits_clk_hrow_bot_r.db`](./kintex7/segbits_clk_hrow_bot_r.db) |
| * [`5c9e452796067b6b3935f5af5dc62d929d98d6090284ede1a27d7136f8da976d ./kintex7/segbits_clk_hrow_top_r.db`](./kintex7/segbits_clk_hrow_top_r.db) |
| * [`0c4a6e4bc385a8b3a43d05a06d8e87c8822cf2cc1742593167244ff194af4a5e ./kintex7/segbits_dsp_l.db`](./kintex7/segbits_dsp_l.db) |
| * [`b014d7e2b101b0b0540a539cb74a76ccbe3a494e225e8e510bf258a457b18685 ./kintex7/segbits_dsp_r.db`](./kintex7/segbits_dsp_r.db) |
| * [`1c2c7229781a4a1d51bbbdeea76238b10497c043aaadf2a76de783041a201878 ./kintex7/segbits_hclk_l.db`](./kintex7/segbits_hclk_l.db) |
| * [`51288ec0be63172fcb2a12a92853150c62a21e894c2d42a2586046c462bf57a9 ./kintex7/segbits_hclk_r.db`](./kintex7/segbits_hclk_r.db) |
| * [`0ea44e8dfaf97ed200f30b2afe117e94e1a68bdb26af2e09e69e855414779520 ./kintex7/segbits_int_l.db`](./kintex7/segbits_int_l.db) |
| * [`74677110ea4768e641743e8c1cf810c4ffb00c6ea4f09f41144d45f0e89416c7 ./kintex7/segbits_int_r.db`](./kintex7/segbits_int_r.db) |
| * [`bed06fc405947c72a47a7fbac7adbc220efd2dc8d73f321ed70b8d2490ab745b ./kintex7/segbits_liob33.db`](./kintex7/segbits_liob33.db) |
| * [`8ec421f8f4ce1bab7e81bcbf0cbdc37fb3f6ed4715bc2fdf75db336805efc53e ./kintex7/segbits_riob33.db`](./kintex7/segbits_riob33.db) |
| * [`c4fe49753a5ba6b4abc688337d5df26f2101ccfca3dd4270ca77e39e5221bfe9 ./kintex7/settings.sh`](./kintex7/settings.sh) |
| * [`ac6ba9ad814503f0fdc1dabb4292aaccd1a2195f5b348276cfee12aed3d96a70 ./kintex7/site_type_BSCAN.json`](./kintex7/site_type_BSCAN.json) |
| * [`64724ba2f8af98df5e1d92e5c2da2e6d5a41eec6580f796405e271dadb4e63be ./kintex7/site_type_BUFGCTRL.json`](./kintex7/site_type_BUFGCTRL.json) |
| * [`aa69b29ddfd61c2e1c8a3f7136486baa7165eb7d5cf46868b5ac1ee5f776f381 ./kintex7/site_type_BUFHCE.json`](./kintex7/site_type_BUFHCE.json) |
| * [`01b21db6c1e64995e74cb8ad29e244692e26dc19f3038a70dec569094d699018 ./kintex7/site_type_BUFIO.json`](./kintex7/site_type_BUFIO.json) |
| * [`d16f5baaba75a01d36a60efa8c07c3fddca230e11b496871b04e8f3823e25916 ./kintex7/site_type_BUFMRCE.json`](./kintex7/site_type_BUFMRCE.json) |
| * [`a5a19be7b32a9e26182a2646cf8608e98e6ec4aaf285baea3ab039078788e109 ./kintex7/site_type_BUFR.json`](./kintex7/site_type_BUFR.json) |
| * [`a06dc3686fd07cb3b0776319d7fc91431ae6bb297f3783e827989aa0e9e97fe7 ./kintex7/site_type_CAPTURE.json`](./kintex7/site_type_CAPTURE.json) |
| * [`d6d095ed918127a2970d71c73cf668124fc000fcf37ad5eec803dbd9efbe9a17 ./kintex7/site_type_DCIRESET.json`](./kintex7/site_type_DCIRESET.json) |
| * [`012311ea9db7d8d1a88c6a8ad13bec27d8d77295f854aa74846f14b28cefcc75 ./kintex7/site_type_DNA_PORT.json`](./kintex7/site_type_DNA_PORT.json) |
| * [`7bc10b6943898ec18297f8e2d94c294ad4e9b4899f1ca5e84eba3f8c55eea436 ./kintex7/site_type_DSP48E1.json`](./kintex7/site_type_DSP48E1.json) |
| * [`04cfc4a15f1a10add40b9d1d10f987293f89e5e1aef984c3cd9ecca781b02909 ./kintex7/site_type_EFUSE_USR.json`](./kintex7/site_type_EFUSE_USR.json) |
| * [`81110626cbec259d60eb732ea135546bfc69b8f26d5f45d34a54e4e5fba30f9d ./kintex7/site_type_FIFO18E1.json`](./kintex7/site_type_FIFO18E1.json) |
| * [`bcd387295f597629764677c85865ef34930e82d84999843163f6415ee83470d9 ./kintex7/site_type_FRAME_ECC.json`](./kintex7/site_type_FRAME_ECC.json) |
| * [`1a906a4d2d7aa0c201d06323d2d80a09c3fda3591f0a5c7fa7fdc54e4eceb244 ./kintex7/site_type_GTXE2_CHANNEL.json`](./kintex7/site_type_GTXE2_CHANNEL.json) |
| * [`1162c3ffef9fb964d28b51270541d97302b8781c9f55384890157e0ac715f049 ./kintex7/site_type_GTXE2_COMMON.json`](./kintex7/site_type_GTXE2_COMMON.json) |
| * [`5e34f05aa8e322840af38088d7d02816b48823a58fc9f6111c835ca08b2adc7c ./kintex7/site_type_IBUFDS_GTE2.json`](./kintex7/site_type_IBUFDS_GTE2.json) |
| * [`798da94b860f4cf48ef481cc0d647f46e7a2d3d7525c9300a671af5fa60b945a ./kintex7/site_type_ICAP.json`](./kintex7/site_type_ICAP.json) |
| * [`186345922143f4f47e397a9ad0d21f0f22f62210c77035c836f6fce7cfb82809 ./kintex7/site_type_IDELAYCTRL.json`](./kintex7/site_type_IDELAYCTRL.json) |
| * [`f6d9451dfc382946eb679d9c8de40fc5386a03ce710b471c5ff01561c5f9e84a ./kintex7/site_type_IDELAYE2.json`](./kintex7/site_type_IDELAYE2.json) |
| * [`0a9e4dfd75a3fc4d7baf0bdf9679529adf5104f68272a4fd516fe7b7c7fee964 ./kintex7/site_type_IDELAYE2_FINEDELAY.json`](./kintex7/site_type_IDELAYE2_FINEDELAY.json) |
| * [`d78a46c8cd6e5c9c22ddfa62eb91d32a7e2301406355f8b34816e66d7c6a8f5f ./kintex7/site_type_ILOGICE2.json`](./kintex7/site_type_ILOGICE2.json) |
| * [`3ea826fe33445c45d450e9600640830dde8a53d9ef0a32e8777d40842c25dc1e ./kintex7/site_type_ILOGICE3.json`](./kintex7/site_type_ILOGICE3.json) |
| * [`cffd17f7cce991b97d3af468b7462d8d3d72fa58423b715545a488ea5d9358e5 ./kintex7/site_type_IN_FIFO.json`](./kintex7/site_type_IN_FIFO.json) |
| * [`96dfce77c595b4a3e0c51efb65aabed0f5809e503dfae73c6b0ac1120c30047d ./kintex7/site_type_IOB18.json`](./kintex7/site_type_IOB18.json) |
| * [`140fc1bcf81164466a8f5890595a195a8782e4b73a529c5d46cb130aca1e4d45 ./kintex7/site_type_IOB18M.json`](./kintex7/site_type_IOB18M.json) |
| * [`bf9631b2439e12e5a3b904e2cd821cc8741b27ed5c36e3f470a8c7c043c65e8f ./kintex7/site_type_IOB18S.json`](./kintex7/site_type_IOB18S.json) |
| * [`f615da462018bb36b8f28fb5dc5b3871581a7f33b6ed7704bd6787f586c2ab5c ./kintex7/site_type_IOB33.json`](./kintex7/site_type_IOB33.json) |
| * [`94d649164e7dd8724b49e2fe16a3d1ec8dd37994f6ee53fe52aff2fd61604737 ./kintex7/site_type_IOB33M.json`](./kintex7/site_type_IOB33M.json) |
| * [`f26fbc06575fa2d5abde06ec617af86bf386473dd97e6eff17c3929c87e889fb ./kintex7/site_type_IOB33S.json`](./kintex7/site_type_IOB33S.json) |
| * [`57f36c456bb9936328761cba5d98ac11aef35480951e4bbd01ebff87f281da35 ./kintex7/site_type_IPAD.json`](./kintex7/site_type_IPAD.json) |
| * [`57376aa966edcaf803d50d2a88fa393149915e35175f353375d09c0d41e10172 ./kintex7/site_type_MMCME2_ADV.json`](./kintex7/site_type_MMCME2_ADV.json) |
| * [`2decc5c857b5ae55554fae450c28060086b6a3e74d6c911b5212e9a916f37272 ./kintex7/site_type_ODELAYE2.json`](./kintex7/site_type_ODELAYE2.json) |
| * [`cb7a4cdc70bb6d3ead3a13a7ad4cfea18e3f66831f218f08371dd94dda7ab496 ./kintex7/site_type_OLOGICE2.json`](./kintex7/site_type_OLOGICE2.json) |
| * [`dc96b19ee6e827360c59e845bbf9ea559ea881226a0344fd932fa691d53a099d ./kintex7/site_type_OLOGICE3.json`](./kintex7/site_type_OLOGICE3.json) |
| * [`9f63a604386b226e8b4a28dd5e30bbe7c31da95775c2a8099ffe4c42dd7cc4cd ./kintex7/site_type_OPAD.json`](./kintex7/site_type_OPAD.json) |
| * [`c42ae28d4ea844f7bdd8eee4cafd9e5c5a1fff1b37206311cb0c9496f033b111 ./kintex7/site_type_OUT_FIFO.json`](./kintex7/site_type_OUT_FIFO.json) |
| * [`810612cdcf255d503f5b89f1e9de40dc726b16cb44ddff7b66ddebf7e4dee3a3 ./kintex7/site_type_PCIE_2_1.json`](./kintex7/site_type_PCIE_2_1.json) |
| * [`aeb8e6803ff63bb256644a65840ed39dcb64fccb3e01b44ae3479ae67f3341ac ./kintex7/site_type_PHASER_IN_PHY.json`](./kintex7/site_type_PHASER_IN_PHY.json) |
| * [`9d56de422cf14b2886d45d8b88a38ae159bd66630f98aea1acb1b1bd1321d52d ./kintex7/site_type_PHASER_OUT_PHY.json`](./kintex7/site_type_PHASER_OUT_PHY.json) |
| * [`eafe5543b8d36752a006772e9c1046331157c3f6fcaead642a1d93b6ec3c5caa ./kintex7/site_type_PHASER_REF.json`](./kintex7/site_type_PHASER_REF.json) |
| * [`684700d5ca81c587e4cb36b4e4832d09c295f2832c1fb6eadc8142b5d93451d3 ./kintex7/site_type_PHY_CONTROL.json`](./kintex7/site_type_PHY_CONTROL.json) |
| * [`d7d4341d7e1029cf59f70de76a59c627510c97a421964d1bb7d8e83bd918aa4f ./kintex7/site_type_PLLE2_ADV.json`](./kintex7/site_type_PLLE2_ADV.json) |
| * [`c01006fabc55d6b03265a3cc14576c9e28ccc37109331daef8cfdef3e726a029 ./kintex7/site_type_PMV2.json`](./kintex7/site_type_PMV2.json) |
| * [`261782a60bf2c6419f6b9bc41e830003cdd000c0b96b6c1b0a2713ba49f62348 ./kintex7/site_type_RAMB18E1.json`](./kintex7/site_type_RAMB18E1.json) |
| * [`df462af9d9cad14c6c500bbd01b30d0620320851692e5330ebb16b01250a81da ./kintex7/site_type_RAMBFIFO36E1.json`](./kintex7/site_type_RAMBFIFO36E1.json) |
| * [`5237c206807877602106a66506a2a73bc345fca67189ca7873bbfc6d8b52be53 ./kintex7/site_type_SLICEL.json`](./kintex7/site_type_SLICEL.json) |
| * [`a0af169e3f78dbb650a631ae61c2a7bbe175e48942729f4a5aed2351f1844439 ./kintex7/site_type_SLICEM.json`](./kintex7/site_type_SLICEM.json) |
| * [`bfd80f11db70c478290494ee936a172aac3ebd2351c9d82a0ebd10189a389c6f ./kintex7/site_type_STARTUP.json`](./kintex7/site_type_STARTUP.json) |
| * [`8e5baf846e629316cefb781c26c09b6a39ca509d03dd381967c3e92f429dbda3 ./kintex7/site_type_TIEOFF.json`](./kintex7/site_type_TIEOFF.json) |
| * [`4a52214be0712e1f5e3746c304d3299fd2bfa9e578956df1d6fcd6128614da12 ./kintex7/site_type_USR_ACCESS.json`](./kintex7/site_type_USR_ACCESS.json) |
| * [`f711f285e16aa11d4827ce8504e9413c8ccf87f9f86d108740738ae6cbb4f388 ./kintex7/site_type_XADC.json`](./kintex7/site_type_XADC.json) |
| * [`0bfdad62f04128ca4d469aa18b179cbd3bf78e40c6af50450c9ca85bfffd746f ./kintex7/tile_type_BRAM_INT_INTERFACE_L.json`](./kintex7/tile_type_BRAM_INT_INTERFACE_L.json) |
| * [`fd0b3b31118249e66193fa06633a58aa5d86820bed16d3f85497b886d2282845 ./kintex7/tile_type_BRAM_INT_INTERFACE_R.json`](./kintex7/tile_type_BRAM_INT_INTERFACE_R.json) |
| * [`23af85ab67092eb90d6b05c3bff539499494eaecb07b5063baa2aa494063a1ec ./kintex7/tile_type_BRAM_L.json`](./kintex7/tile_type_BRAM_L.json) |
| * [`3f080d03ca1d85aa81c2bae209cb401b8dcddd6e115ea8d16d735f2b4e6fc892 ./kintex7/tile_type_BRAM_R.json`](./kintex7/tile_type_BRAM_R.json) |
| * [`29e4879a736ff9d43178ba3887ba47b8f1190464dabf4eef7c8fe8d8d23647c2 ./kintex7/tile_type_BRKH_BRAM.json`](./kintex7/tile_type_BRKH_BRAM.json) |
| * [`fccd1abee620b9dc48534d82af9c84d7e4fb9f2fbeaa0d8bbef1ddab5d2d91c5 ./kintex7/tile_type_BRKH_B_TERM_INT.json`](./kintex7/tile_type_BRKH_B_TERM_INT.json) |
| * [`1adbede824487b01b77eed4443ff5434c9473a067dae3c620df3ccca800951ac ./kintex7/tile_type_BRKH_CLB.json`](./kintex7/tile_type_BRKH_CLB.json) |
| * [`d036cb35cb1bb3237b76f2e755fd3e5902e4588b03e565e4c01ecaa6429457fa ./kintex7/tile_type_BRKH_CLK.json`](./kintex7/tile_type_BRKH_CLK.json) |
| * [`ec60392fdf039d697e2de0b6c856d118a52ac7fb5bc50da206802f98a8967ea6 ./kintex7/tile_type_BRKH_CMT.json`](./kintex7/tile_type_BRKH_CMT.json) |
| * [`721f0a9fab25908b7ae0da9b94903a8ca1cb63d42dc5119d7b143309d27156fd ./kintex7/tile_type_BRKH_DSP_L.json`](./kintex7/tile_type_BRKH_DSP_L.json) |
| * [`db175274054c15c1cf7093a5117628fb30f27ddd50a29eabcc894e39236f95d8 ./kintex7/tile_type_BRKH_DSP_R.json`](./kintex7/tile_type_BRKH_DSP_R.json) |
| * [`47d42da782610f63cf7d094ca01bdd72d632b2f7f145a942cf2ceba1dfacdc53 ./kintex7/tile_type_BRKH_GTX.json`](./kintex7/tile_type_BRKH_GTX.json) |
| * [`68c36646e682266cb3aecade1627160b22112d72b5859f4aae3cd32df488422a ./kintex7/tile_type_BRKH_INT.json`](./kintex7/tile_type_BRKH_INT.json) |
| * [`0c29262ad6e32786f23197bd603491be251278fc1a0806527e8c164a4aa269aa ./kintex7/tile_type_BRKH_TERM_INT.json`](./kintex7/tile_type_BRKH_TERM_INT.json) |
| * [`b3700d8432a5ea4375fab4419bba143bc79dfd137a7110117ea085d79a2dd766 ./kintex7/tile_type_B_TERM_INT.json`](./kintex7/tile_type_B_TERM_INT.json) |
| * [`606581f9ab6d5c8ded71371ea6806e741b0739e5e32e69c503e4ebddc9544ec9 ./kintex7/tile_type_CFG_CENTER_BOT.json`](./kintex7/tile_type_CFG_CENTER_BOT.json) |
| * [`820a133d2cdab23ca7c64570daa391e3329826759fa82b2d12914878676274ce ./kintex7/tile_type_CFG_CENTER_MID.json`](./kintex7/tile_type_CFG_CENTER_MID.json) |
| * [`cc6b420c4804236a1b2928e5c86cfa6f6143b93843e40081d14c2bfd5d5e76a8 ./kintex7/tile_type_CFG_CENTER_TOP.json`](./kintex7/tile_type_CFG_CENTER_TOP.json) |
| * [`0cf36c0ab629c583c01ae9efa04093e0644da71b7b0dfbc175dfcf9ed56650d5 ./kintex7/tile_type_CLBLL_L.json`](./kintex7/tile_type_CLBLL_L.json) |
| * [`3607f851807c3b420d21b4fe0c0b26b91db19d1384ba39d45f4c771f7251544e ./kintex7/tile_type_CLBLL_R.json`](./kintex7/tile_type_CLBLL_R.json) |
| * [`8f91f81d6f549d0f728dbab89baca64bae44491b1b0df30ae6ca4193b6eed951 ./kintex7/tile_type_CLBLM_L.json`](./kintex7/tile_type_CLBLM_L.json) |
| * [`50812dbe755a110f8e33285728a9b565d46d1e71e76e63085fc6d1dea4f4dee7 ./kintex7/tile_type_CLBLM_R.json`](./kintex7/tile_type_CLBLM_R.json) |
| * [`3ab28fa68486317ac22e260c8d0ac81bcccc0b214cff21b66cda2cf0974d62bb ./kintex7/tile_type_CLK_BUFG_BOT_R.json`](./kintex7/tile_type_CLK_BUFG_BOT_R.json) |
| * [`7e7b949435c6724c886ab674148e7a241d7761b63d8b700fbeb2b3f4105329bb ./kintex7/tile_type_CLK_BUFG_REBUF.json`](./kintex7/tile_type_CLK_BUFG_REBUF.json) |
| * [`b1fdae383da0691975b3836a0a66fa566165de094e4bd416d664dc32f2d010c8 ./kintex7/tile_type_CLK_BUFG_TOP_R.json`](./kintex7/tile_type_CLK_BUFG_TOP_R.json) |
| * [`9900c1d7c03b75bb765c57b00b20fbefd09efeccb325afba72901b941d5db0de ./kintex7/tile_type_CLK_FEED.json`](./kintex7/tile_type_CLK_FEED.json) |
| * [`fa0923a2169819ecc93697c7255aef24e9dbee2a3c5d8c1df3f86956e0bc8b08 ./kintex7/tile_type_CLK_HROW_BOT_R.json`](./kintex7/tile_type_CLK_HROW_BOT_R.json) |
| * [`71f60f081cb9718ca95f3c004034dde427a1323ae4f71f94c68f3ecb961f1d2f ./kintex7/tile_type_CLK_HROW_TOP_R.json`](./kintex7/tile_type_CLK_HROW_TOP_R.json) |
| * [`3d200f97f5d0608d4577dcaf9ae59c34be18f4d1406aa71815d56327fc2a3564 ./kintex7/tile_type_CLK_MTBF2.json`](./kintex7/tile_type_CLK_MTBF2.json) |
| * [`0163ab8305f14d439e303fc072bf980549efd65c42494e468bc2b2e0bd3ff0a6 ./kintex7/tile_type_CLK_PMV.json`](./kintex7/tile_type_CLK_PMV.json) |
| * [`1e08a2d1f2c7e0ec12b0eec202c3759fbfc82fab01b9d0b5d1658299d8ac5506 ./kintex7/tile_type_CLK_PMV2.json`](./kintex7/tile_type_CLK_PMV2.json) |
| * [`bf52b93861ca5856dab593dde196a21ab8a9522b4eb58f13fe206beaba8c78f2 ./kintex7/tile_type_CLK_PMV2_SVT.json`](./kintex7/tile_type_CLK_PMV2_SVT.json) |
| * [`e7123b7dbeba2ebbf4a6ae04fb87bd114548befc9bb812d7bf4bee3401aa44fa ./kintex7/tile_type_CLK_PMVIOB.json`](./kintex7/tile_type_CLK_PMVIOB.json) |
| * [`42236b4ea5a40883822299aef2c5eb6ef2adb30c715145a9c36c5dd9e84e102e ./kintex7/tile_type_CLK_TERM.json`](./kintex7/tile_type_CLK_TERM.json) |
| * [`f985c5c1c1186eb314e1bd727b4195b88f69739fcb991efbafee963310b880f9 ./kintex7/tile_type_CMT_FIFO_L.json`](./kintex7/tile_type_CMT_FIFO_L.json) |
| * [`2209bb569563a8f748c4f54f28a5d870d9f873d1403cefc3c433174bb68d74f2 ./kintex7/tile_type_CMT_FIFO_R.json`](./kintex7/tile_type_CMT_FIFO_R.json) |
| * [`a3cce946e4fc43015cc0e0d5ed2305bbf1da982807029d4a72a5f3f76cb6e756 ./kintex7/tile_type_CMT_PMV.json`](./kintex7/tile_type_CMT_PMV.json) |
| * [`9207ebd19f94b6a3a9d8ea08f1fe78dcf592d3b5b5f541694a23d5dc1a9163e3 ./kintex7/tile_type_CMT_PMV_L.json`](./kintex7/tile_type_CMT_PMV_L.json) |
| * [`63d8187207a325d174e8d509014200531f3e11236e5064c2675871ca42fbbffa ./kintex7/tile_type_CMT_TOP_L_LOWER_B.json`](./kintex7/tile_type_CMT_TOP_L_LOWER_B.json) |
| * [`129c5c28dee6d7cc79263d280a391c07b5db326124ad1e973582643d9eadff3a ./kintex7/tile_type_CMT_TOP_L_LOWER_T.json`](./kintex7/tile_type_CMT_TOP_L_LOWER_T.json) |
| * [`3c645c7e32529af66b278c8c06734bb052d1be00ff801772d28147b1e62da2ff ./kintex7/tile_type_CMT_TOP_L_UPPER_B.json`](./kintex7/tile_type_CMT_TOP_L_UPPER_B.json) |
| * [`e008d249e1f1dafa57e4ac276826c60e24b7fd29ec4e5acafd078c0604631afc ./kintex7/tile_type_CMT_TOP_L_UPPER_T.json`](./kintex7/tile_type_CMT_TOP_L_UPPER_T.json) |
| * [`5b45ef7b0d9a366440da629a02330f51b6210652842fe723369e88f31df5d732 ./kintex7/tile_type_CMT_TOP_R_LOWER_B.json`](./kintex7/tile_type_CMT_TOP_R_LOWER_B.json) |
| * [`6260182cedf2857372997d8b9a9b3d28504931d1c7ff5176d718dd44935354f0 ./kintex7/tile_type_CMT_TOP_R_LOWER_T.json`](./kintex7/tile_type_CMT_TOP_R_LOWER_T.json) |
| * [`526212df7cbe1dbc56b70ac0dc0e93823cb238fcbf0c223dd82e88fac47e329f ./kintex7/tile_type_CMT_TOP_R_UPPER_B.json`](./kintex7/tile_type_CMT_TOP_R_UPPER_B.json) |
| * [`816d810709c3f54a33774c6a9acefe472cac1e5748d306e692524007b699ee35 ./kintex7/tile_type_CMT_TOP_R_UPPER_T.json`](./kintex7/tile_type_CMT_TOP_R_UPPER_T.json) |
| * [`4ddd2c3e96995a4acf4320877f3ab6ade22d9b475eb8b2e46cb64c325b92e386 ./kintex7/tile_type_DSP_L.json`](./kintex7/tile_type_DSP_L.json) |
| * [`b7f2ec5fcaf13becd7a73baa9271370dd80ccc24a1dc52bbe4ec2a450aabd7ad ./kintex7/tile_type_DSP_R.json`](./kintex7/tile_type_DSP_R.json) |
| * [`664e29cdabfbec863560328a0833a91459c17dc70ea679128d602c805825ccd7 ./kintex7/tile_type_GTX_CHANNEL_0.json`](./kintex7/tile_type_GTX_CHANNEL_0.json) |
| * [`073c39fafe9d8dacb4e002d50832d5978ca20f4503434fdee83c7132cd338128 ./kintex7/tile_type_GTX_CHANNEL_1.json`](./kintex7/tile_type_GTX_CHANNEL_1.json) |
| * [`11692719d238fce3ad91ba0ba92a0d4216b24eb6da2f17e988f3145b08c11ddf ./kintex7/tile_type_GTX_CHANNEL_2.json`](./kintex7/tile_type_GTX_CHANNEL_2.json) |
| * [`06f886342ce6151e496b553027ec5930c28f972f6cfa4edf669ef46cb7958843 ./kintex7/tile_type_GTX_CHANNEL_3.json`](./kintex7/tile_type_GTX_CHANNEL_3.json) |
| * [`124ad9e96a57e9f949a9525366f0f2c497ef20f14e0848b465aa3215556c7825 ./kintex7/tile_type_GTX_COMMON.json`](./kintex7/tile_type_GTX_COMMON.json) |
| * [`b015248899232a2c9213742d7f44c597b75bb58e5f4edf03ef71119e003958d4 ./kintex7/tile_type_GTX_INT_INTERFACE.json`](./kintex7/tile_type_GTX_INT_INTERFACE.json) |
| * [`05eb17dc54b29fac95e4b2ac067139b528c1bc7f5cb78b672e6941a2966ec7bb ./kintex7/tile_type_HCLK_BRAM.json`](./kintex7/tile_type_HCLK_BRAM.json) |
| * [`307db3c561c03036e0460d24af8d435631bbacef7f81c0385f6179673d818d50 ./kintex7/tile_type_HCLK_CLB.json`](./kintex7/tile_type_HCLK_CLB.json) |
| * [`318c1785d2059191307e3e12efc326475b060106d048550bfd2a7a48381257d0 ./kintex7/tile_type_HCLK_CMT.json`](./kintex7/tile_type_HCLK_CMT.json) |
| * [`4af6db5c406dd683670c77fe2dbfcfd64b0d079e59e3082cfc4e578789cddf45 ./kintex7/tile_type_HCLK_CMT_L.json`](./kintex7/tile_type_HCLK_CMT_L.json) |
| * [`cbcd13d3b6a78888a73e22e1e33e56c80b5fcb23c4d1baf938b4b6daa02173f7 ./kintex7/tile_type_HCLK_DSP_L.json`](./kintex7/tile_type_HCLK_DSP_L.json) |
| * [`dacc707f9e2db1d6752f833cf0559536423baf915a848b3ff641373f4762793f ./kintex7/tile_type_HCLK_DSP_R.json`](./kintex7/tile_type_HCLK_DSP_R.json) |
| * [`c1d33fee3af7b2ba311bad50d6f8b771303ebd8241e617ec638b1fcb8d2c4ee0 ./kintex7/tile_type_HCLK_FEEDTHRU_1.json`](./kintex7/tile_type_HCLK_FEEDTHRU_1.json) |
| * [`0e991e5fc85e54835a7de8da8456ee1300d97d798fb12d16c521a9163500a20c ./kintex7/tile_type_HCLK_FEEDTHRU_2.json`](./kintex7/tile_type_HCLK_FEEDTHRU_2.json) |
| * [`1631fbdf6e3158d6e372508b55e32e3e638b270e0ca606359b4ad060f6337cea ./kintex7/tile_type_HCLK_FIFO_L.json`](./kintex7/tile_type_HCLK_FIFO_L.json) |
| * [`7897a72ad8df7a9561af0cd339d07b78fda2d8978771ca314edb158eb6bf21d5 ./kintex7/tile_type_HCLK_GTX.json`](./kintex7/tile_type_HCLK_GTX.json) |
| * [`6a66fa18fdad81ae738e61f650066415a2adc7d15b15ab87b5080faff3edb9e1 ./kintex7/tile_type_HCLK_INT_INTERFACE.json`](./kintex7/tile_type_HCLK_INT_INTERFACE.json) |
| * [`51fbaa9613664a08814f372c5791189ceb855720997334f55e52872cc6d4c46f ./kintex7/tile_type_HCLK_IOB.json`](./kintex7/tile_type_HCLK_IOB.json) |
| * [`ac2bda946bf493ddaa51c21c4cec8295317ce822692f8276725a4bc36618c0f1 ./kintex7/tile_type_HCLK_IOI.json`](./kintex7/tile_type_HCLK_IOI.json) |
| * [`5e15b63a15fd7864d838d448599718e5f82e8caafa8fd316eb19374e20c0d89c ./kintex7/tile_type_HCLK_IOI3.json`](./kintex7/tile_type_HCLK_IOI3.json) |
| * [`2c39172c06f58c30f92d140c6c7c060777b1b3f397a23b9cf82a41a656da82ef ./kintex7/tile_type_HCLK_L.json`](./kintex7/tile_type_HCLK_L.json) |
| * [`4270980b733f54a17a34b5259579fd2e42d38efeeb42518967362c599def37c2 ./kintex7/tile_type_HCLK_L_BOT_UTURN.json`](./kintex7/tile_type_HCLK_L_BOT_UTURN.json) |
| * [`782d62d7a78ca8282570a945739057b1801795271764120ff4f20696a36e9354 ./kintex7/tile_type_HCLK_R.json`](./kintex7/tile_type_HCLK_R.json) |
| * [`0bc6c1727558cc3dfe8ee21ec2f2b03e6f0f362d3948b2afed0217e1fd1b2d32 ./kintex7/tile_type_HCLK_R_BOT_UTURN.json`](./kintex7/tile_type_HCLK_R_BOT_UTURN.json) |
| * [`5b459ee856bd5417b0c61831120d27cebb7f5c6ae4952470bdc6dc6bad6c5b49 ./kintex7/tile_type_HCLK_TERM.json`](./kintex7/tile_type_HCLK_TERM.json) |
| * [`ccc33563773bbe6157c016214acd36162575086bfa661e8fa53885a58dd2d43d ./kintex7/tile_type_HCLK_TERM_GTX.json`](./kintex7/tile_type_HCLK_TERM_GTX.json) |
| * [`e706c7cf142b8e806283d3cf030f89e30149bad7b2f156e739e2f41247922792 ./kintex7/tile_type_HCLK_VBRK.json`](./kintex7/tile_type_HCLK_VBRK.json) |
| * [`acabe2c19ef9286451b67f889608af10b57c4149be795c7b9e96c700e673741a ./kintex7/tile_type_HCLK_VFRAME.json`](./kintex7/tile_type_HCLK_VFRAME.json) |
| * [`fe9a6b9109c94abc0860142566f1d6c292b5313f2ebe641dbd3f4d41671d05a2 ./kintex7/tile_type_INT_FEEDTHRU_1.json`](./kintex7/tile_type_INT_FEEDTHRU_1.json) |
| * [`1ff618718c404f469eed1fc7499db1a7bcfa90bf152b317b07511d1e070d7622 ./kintex7/tile_type_INT_FEEDTHRU_2.json`](./kintex7/tile_type_INT_FEEDTHRU_2.json) |
| * [`08db2bc2bc634b16af1988b445a896ffdbe75e2275647657dd44dbc9e436ec9f ./kintex7/tile_type_INT_INTERFACE_L.json`](./kintex7/tile_type_INT_INTERFACE_L.json) |
| * [`3f04e660e8a477ae99b5349c70d4bb420ed61c823ead17915a2900cc2210ad46 ./kintex7/tile_type_INT_INTERFACE_R.json`](./kintex7/tile_type_INT_INTERFACE_R.json) |
| * [`cc47a410209b8beb6140d0216de2b298547116a90f4cd7cf9674785e838f4c36 ./kintex7/tile_type_INT_L.json`](./kintex7/tile_type_INT_L.json) |
| * [`784502f54f667eb147924b061bc62829588d0e43673f32fd9d45113b6f740457 ./kintex7/tile_type_INT_R.json`](./kintex7/tile_type_INT_R.json) |
| * [`ffedd570b50dfb9fdd8d1e5065da17d53319cda7e849848ec88d352c767e2d59 ./kintex7/tile_type_IO_INT_INTERFACE_L.json`](./kintex7/tile_type_IO_INT_INTERFACE_L.json) |
| * [`cf049a6c528634761c6067610f50110102caadc782a33b855f4059df8ed064d9 ./kintex7/tile_type_IO_INT_INTERFACE_R.json`](./kintex7/tile_type_IO_INT_INTERFACE_R.json) |
| * [`cef7db2efcd92f19a0775c1833e0dd23b3dcb4d016fa8516b7e69c4a658ac630 ./kintex7/tile_type_LIOB33.json`](./kintex7/tile_type_LIOB33.json) |
| * [`49276f934ed32b1ec0b2eac19b67178119fbc5674d022eec1de5be08b07c4e72 ./kintex7/tile_type_LIOB33_SING.json`](./kintex7/tile_type_LIOB33_SING.json) |
| * [`801140f147650d8b443e46fa51793181c264bc8d92c8767bb875ae838ae4a062 ./kintex7/tile_type_LIOI3.json`](./kintex7/tile_type_LIOI3.json) |
| * [`c2932bc581e8b38a7373f5a9a555263ce7aa9d96ad9c4e5675c599b11d86b67b ./kintex7/tile_type_LIOI3_SING.json`](./kintex7/tile_type_LIOI3_SING.json) |
| * [`b69c2ea84f06bfed085b2f50e1f4dd43033dd5f34ca19e67da42d6c80317cd23 ./kintex7/tile_type_LIOI3_TBYTESRC.json`](./kintex7/tile_type_LIOI3_TBYTESRC.json) |
| * [`44cf5e287a63932e7b6809f4fc3245ff380ae8ad24ed9b53b8cee45b719517b6 ./kintex7/tile_type_LIOI3_TBYTETERM.json`](./kintex7/tile_type_LIOI3_TBYTETERM.json) |
| * [`5c6ddebe6aef58fa126d2f1121f2c415737d513b90169c393dfcbe2655251716 ./kintex7/tile_type_L_TERM_INT.json`](./kintex7/tile_type_L_TERM_INT.json) |
| * [`0ba8ee53d9143f34acda567dc07fe65317ced21eac6b84223de2620630c285fa ./kintex7/tile_type_MONITOR_BOT_FUJI2.json`](./kintex7/tile_type_MONITOR_BOT_FUJI2.json) |
| * [`ecd8853d71cb85a9234f41c12f81e22a91dc2623947c07c9ad5d6a07a1d4e9b7 ./kintex7/tile_type_MONITOR_MID_FUJI2.json`](./kintex7/tile_type_MONITOR_MID_FUJI2.json) |
| * [`687681f194bdd1c2642f07d0ef1e95fa1f4de557bc4ea3d098b8224e982eda69 ./kintex7/tile_type_MONITOR_TOP_FUJI2.json`](./kintex7/tile_type_MONITOR_TOP_FUJI2.json) |
| * [`880cdcd99af7ea01e4ee142860e0900c6c3503da3b3582837fedba1a2cafa852 ./kintex7/tile_type_NULL.json`](./kintex7/tile_type_NULL.json) |
| * [`a1553083f3d3f703f6fdc25b2e1b5b62e2a68d4371c4edcf3cb3aa8d8e99ec87 ./kintex7/tile_type_PCIE_BOT.json`](./kintex7/tile_type_PCIE_BOT.json) |
| * [`3e075fbce2e39f99504b7e799de6aa1146aafe32d545b0c7ba791d93751ac58b ./kintex7/tile_type_PCIE_INT_INTERFACE_L.json`](./kintex7/tile_type_PCIE_INT_INTERFACE_L.json) |
| * [`7640289b2a0635eba0172f8e37e452a53912620fe00572cf57fe4ac4ae0db2be ./kintex7/tile_type_PCIE_INT_INTERFACE_R.json`](./kintex7/tile_type_PCIE_INT_INTERFACE_R.json) |
| * [`944d9c69913b23cac150f0c80c14284d57fab43f69202a6cc63afaddce23221b ./kintex7/tile_type_PCIE_NULL.json`](./kintex7/tile_type_PCIE_NULL.json) |
| * [`dc29a2768d5aafea58e032f3d303e34e5e7dae896979ef2fc2fe70165b42cf3e ./kintex7/tile_type_PCIE_TOP.json`](./kintex7/tile_type_PCIE_TOP.json) |
| * [`0e43d927111f9707d0d2bde59253087eb358d93bed0ad3d45488d8025f45c453 ./kintex7/tile_type_RIOB18.json`](./kintex7/tile_type_RIOB18.json) |
| * [`143e828e00241ab782be5051c26bc83b78e87f82977e5c07d943778e4603f8f4 ./kintex7/tile_type_RIOB18_SING.json`](./kintex7/tile_type_RIOB18_SING.json) |
| * [`b6feb57ff8a4a680573e34cad591dd8806841c18fe6d10a13791f91c7ce947f8 ./kintex7/tile_type_RIOI.json`](./kintex7/tile_type_RIOI.json) |
| * [`f7782f69f88c344a504d2e8b48459cd0460835d9dcce13f229a84db8eed36b50 ./kintex7/tile_type_RIOI_SING.json`](./kintex7/tile_type_RIOI_SING.json) |
| * [`ceda94b5277ecf7224bcd4e1d323bb136245a547546d8b7479bee9957dfd1a5d ./kintex7/tile_type_RIOI_TBYTESRC.json`](./kintex7/tile_type_RIOI_TBYTESRC.json) |
| * [`3e40d2570c0019c986f4fd163cadc24f48d2557a7894af2af5994924f7a3158b ./kintex7/tile_type_RIOI_TBYTETERM.json`](./kintex7/tile_type_RIOI_TBYTETERM.json) |
| * [`16627ffc9c74acf89474ad03993367d2210f40d4ab07a8c71c98d9ad652f2ca8 ./kintex7/tile_type_R_TERM_INT.json`](./kintex7/tile_type_R_TERM_INT.json) |
| * [`fd664b568212b0479342de300f8efc07e8b521960fbb4b9abacb71336fca773b ./kintex7/tile_type_R_TERM_INT_GTX.json`](./kintex7/tile_type_R_TERM_INT_GTX.json) |
| * [`19503481fb531f7ddc5f92fdc7c97a817ce1cf550e128604041c771f2234b7fa ./kintex7/tile_type_TERM_CMT.json`](./kintex7/tile_type_TERM_CMT.json) |
| * [`f5ebbeee5575e5fbc1fb5d532f021e4ee8647de21b3874caac655d8c849a9ff3 ./kintex7/tile_type_T_TERM_INT.json`](./kintex7/tile_type_T_TERM_INT.json) |
| * [`dee783006fa5b5964d20457323cad59171a60397d730e9fe0840389587695727 ./kintex7/tile_type_VBRK.json`](./kintex7/tile_type_VBRK.json) |
| * [`04409fb1eb974ee5af7e8115bf16aacfd4bda61094c7c4644cc020762a45f6c8 ./kintex7/tile_type_VBRK_EXT.json`](./kintex7/tile_type_VBRK_EXT.json) |
| * [`004efcd7f9e172780ca7b8c379ec329bcfc52f86beaa1d997f41dbef7ac4a242 ./kintex7/tile_type_VFRAME.json`](./kintex7/tile_type_VFRAME.json) |
| * [`77985c4643b2984db517096deb4fc80ae992794089aea91c21b456d81fcbadd2 ./kintex7/tileconn.json`](./kintex7/tileconn.json) |
| * [`4e1a23768dbd4cab253753d3f2547ce9913a631fab244cdcaa703b31513571fc ./kintex7/tilegrid.json`](./kintex7/tilegrid.json) |
| * [`916a9b924454c10b835d561d80434461c5a9a2824bf85c3cdeeee5f0dedfcb24 ./kintex7/xc7k70tfbg676-2.json`](./kintex7/xc7k70tfbg676-2.json) |
| * [`44c1530cc9a184551c98face08bd4ab0a7ec3883623175a52da5c59fba9bfa38 ./kintex7/xc7k70tfbg676-2.yaml`](./kintex7/xc7k70tfbg676-2.yaml) |
| |
| |
| ## Database for [zynq7](zynq7/) |
| |
| ### Settings |
| |
| Created using following [settings/zynq7.sh (sha256: 61298e89dcc873eadba47f5c2f9f72a38fa022583b2c7ff89246b2e051585ad8)](https://github.com/SymbiFlow/prjxray/blob/37c46aa7f7e8993e2359cc32f0d3d2978e28d24d/settings/zynq7.sh) |
| ```shell |
| export XRAY_DATABASE="zynq7" |
| export XRAY_PART="xc7z010clg400-1" |
| export XRAY_ROI_FRAMES="0x00000000:0xffffffff" |
| |
| # All CLB's in part, all BRAM's in part, all DSP's in part. |
| export XRAY_ROI_TILEGRID="SLICE_X0Y0:SLICE_X43Y99 RAMB18_X0Y0:RAMB18_X2Y39 RAMB36_X0Y0:RAMB36_X2Y19 DSP48_X0Y0:DSP48_X1Y39" |
| |
| # These settings must remain in sync |
| export XRAY_ROI="SLICE_X00Y50:SLICE_X43Y99 RAMB18_X0Y20:RAMB18_X2Y39 RAMB36_X0Y10:RAMB36_X2Y19 IOB_X0Y50:IOB_X0Y99" |
| |
| # Most of CMT X0Y2. |
| export XRAY_ROI_GRID_X1="83" |
| export XRAY_ROI_GRID_X2="118" |
| # Include VBRK / VTERM |
| export XRAY_ROI_GRID_Y1="0" |
| export XRAY_ROI_GRID_Y2="51" |
| |
| export XRAY_PIN_00="L14" |
| export XRAY_PIN_01="L15" |
| export XRAY_PIN_02="M14" |
| export XRAY_PIN_03="M15" |
| export XRAY_PIN_04="K16" |
| export XRAY_PIN_05="J16" |
| export XRAY_PIN_06="J15" |
| |
| source $(dirname ${BASH_SOURCE[0]})/../utils/environment.sh |
| |
| ``` |
| |
| ### [Results](zynq7/) |
| |
| Results have checksums; |
| |
| * [`389d7501980b8d12b5fe58af98158372ac3eaa1f557434f6ad96b7610c1ad378 ./zynq7/element_counts.csv`](./zynq7/element_counts.csv) |
| * [`bfd74012f2b02547e824bac877b790ce12b84694b375205e26cb96b5f517672d ./zynq7/harness/README.md`](./zynq7/harness/README.md) |
| * [`ef169ec1d698b4f8a6675b33b600af8f7c481f61ebb663deed1ad5923e4a438c ./zynq7/harness/zybo/swbut/design.bit`](./zynq7/harness/zybo/swbut/design.bit) |
| * [`e0e4b94719fdd010522da34d18ab9466f2938e6a14871696e94f48a5818a31e4 ./zynq7/harness/zybo/swbut/design.dcp`](./zynq7/harness/zybo/swbut/design.dcp) |
| * [`785faee1524045b220751675a2ac5d9c29fb5718a44e854dfd512014ace97e24 ./zynq7/harness/zybo/swbut/design.json`](./zynq7/harness/zybo/swbut/design.json) |
| * [`9315fdbbd691414d1cd31b798b080f53bcfe7fefc735f86f9b4d5f013d14c168 ./zynq7/harness/zybo/swbut/design.txt`](./zynq7/harness/zybo/swbut/design.txt) |
| * [`fca753747fb1d583483e22980c4dae3a2de6451a326d46eab3581ea6f50f5b2d ./zynq7/mask_bram_l.block_ram.db`](./zynq7/mask_bram_l.block_ram.db) |
| * [`3adab1bc4365599535597dd6366028004dd056a17a3349d328965b23a6a4064a ./zynq7/mask_bram_l.db`](./zynq7/mask_bram_l.db) |
| * [`fca753747fb1d583483e22980c4dae3a2de6451a326d46eab3581ea6f50f5b2d ./zynq7/mask_bram_r.block_ram.db`](./zynq7/mask_bram_r.block_ram.db) |
| * [`3adab1bc4365599535597dd6366028004dd056a17a3349d328965b23a6a4064a ./zynq7/mask_bram_r.db`](./zynq7/mask_bram_r.db) |
| * [`4f37a37c925f92956b6fc010034529a4cc37698ecb7dd263a0fe737ad600cde7 ./zynq7/mask_clbll_l.db`](./zynq7/mask_clbll_l.db) |
| * [`4f37a37c925f92956b6fc010034529a4cc37698ecb7dd263a0fe737ad600cde7 ./zynq7/mask_clbll_r.db`](./zynq7/mask_clbll_r.db) |
| * [`5c274320294201935a3edccb43eca8e347ca1f0acded71ec388c794877d4b55b ./zynq7/mask_clblm_l.db`](./zynq7/mask_clblm_l.db) |
| * [`5c274320294201935a3edccb43eca8e347ca1f0acded71ec388c794877d4b55b ./zynq7/mask_clblm_r.db`](./zynq7/mask_clblm_r.db) |
| * [`f2932beb245918b0613c2abfad2b6d15c1cf31956d5a9ad9d76faec5e4dc54f7 ./zynq7/mask_clk_bufg_bot_r.db`](./zynq7/mask_clk_bufg_bot_r.db) |
| * [`fab582dba708b87f84b7d493cfc738317201a90cdf73a438a753f7512eee7dea ./zynq7/mask_clk_bufg_rebuf.db`](./zynq7/mask_clk_bufg_rebuf.db) |
| * [`f2932beb245918b0613c2abfad2b6d15c1cf31956d5a9ad9d76faec5e4dc54f7 ./zynq7/mask_clk_bufg_top_r.db`](./zynq7/mask_clk_bufg_top_r.db) |
| * [`54c076cbee2f848e15bc434a531fbe8fd2b73d3d3394c80b66e2b8ffa1a2b5c1 ./zynq7/mask_clk_hrow_bot_r.db`](./zynq7/mask_clk_hrow_bot_r.db) |
| * [`54c076cbee2f848e15bc434a531fbe8fd2b73d3d3394c80b66e2b8ffa1a2b5c1 ./zynq7/mask_clk_hrow_top_r.db`](./zynq7/mask_clk_hrow_top_r.db) |
| * [`aab2e5f20890d805b6a78db6e6fd68d6024a140ac5e960feb4162d7e49582ca8 ./zynq7/mask_dsp_l.db`](./zynq7/mask_dsp_l.db) |
| * [`aab2e5f20890d805b6a78db6e6fd68d6024a140ac5e960feb4162d7e49582ca8 ./zynq7/mask_dsp_r.db`](./zynq7/mask_dsp_r.db) |
| * [`76d1e0bd4b7ad492cf3fe8698b2b5f46f7dcc5fe446984e5dccae373c63edafd ./zynq7/mask_hclk_l.db`](./zynq7/mask_hclk_l.db) |
| * [`76d1e0bd4b7ad492cf3fe8698b2b5f46f7dcc5fe446984e5dccae373c63edafd ./zynq7/mask_hclk_r.db`](./zynq7/mask_hclk_r.db) |
| * [`89a87d97a68658b2b9c7e9d6a68806179e82f3dd646d39e458c47d19f33172e9 ./zynq7/mask_liob33.db`](./zynq7/mask_liob33.db) |
| * [`89a87d97a68658b2b9c7e9d6a68806179e82f3dd646d39e458c47d19f33172e9 ./zynq7/mask_riob33.db`](./zynq7/mask_riob33.db) |
| * [`d94e4d13df16da498224f0e94deaa310fbf471b6f9ec0ec8b2308fe62fa2eeaf ./zynq7/ppips_bram_int_interface_l.db`](./zynq7/ppips_bram_int_interface_l.db) |
| * [`b48d766ac6f9dd0e21280d3a04dd448ea39016143309c0c7867fc00d730a59ae ./zynq7/ppips_bram_int_interface_r.db`](./zynq7/ppips_bram_int_interface_r.db) |
| * [`2c68f8b128aeb79197013c3a1774522143a3507a8fa595a98c22dba2553fd5ce ./zynq7/ppips_bram_l.db`](./zynq7/ppips_bram_l.db) |
| * [`e58acdfa3cc740d2346dcb5d3a4c13434d459ebdc2ceb655dcb65fd631da4e4d ./zynq7/ppips_bram_r.db`](./zynq7/ppips_bram_r.db) |
| * [`b4ffdb01ca695c7d52f34b88508aef6d596377fcffd7fa5e197212acc4b00e9a ./zynq7/ppips_clbll_l.db`](./zynq7/ppips_clbll_l.db) |
| * [`bb75573609f56f082544644ecbb39125d023809340f7a30180cb9df823585009 ./zynq7/ppips_clbll_r.db`](./zynq7/ppips_clbll_r.db) |
| * [`a5357b0c018ac9c8c1f8cccf3c36b69f66ffd0e29039dfadb5a829caafd71a73 ./zynq7/ppips_clblm_l.db`](./zynq7/ppips_clblm_l.db) |
| * [`15424ecbd5816143def2dcb20fc9cfae5ec4e11a1a5cfc1848e71b2904a1a713 ./zynq7/ppips_clblm_r.db`](./zynq7/ppips_clblm_r.db) |
| * [`77fba62caedba6632e55834bbc40ff797181d8825e2f4d55987a04a38a95a6c0 ./zynq7/ppips_clk_bufg_bot_r.db`](./zynq7/ppips_clk_bufg_bot_r.db) |
| * [`15dba278ba801744b1ed558220334899fc098acd8e8aff20ab9761249a70e839 ./zynq7/ppips_clk_bufg_top_r.db`](./zynq7/ppips_clk_bufg_top_r.db) |
| * [`0dbef414182c3ef9054f4b9bc15c41c435d4bef2db30850add728d3de93749b8 ./zynq7/ppips_clk_hrow_bot_r.db`](./zynq7/ppips_clk_hrow_bot_r.db) |
| * [`8774624d8398b6000e80cefbcf5a5bac095e1c8650772c23f9b73448e0df5dbb ./zynq7/ppips_clk_hrow_top_r.db`](./zynq7/ppips_clk_hrow_top_r.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./zynq7/ppips_dsp_l.db`](./zynq7/ppips_dsp_l.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./zynq7/ppips_dsp_r.db`](./zynq7/ppips_dsp_r.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./zynq7/ppips_hclk_l.db`](./zynq7/ppips_hclk_l.db) |
| * [`e3b0c44298fc1c149afbf4c8996fb92427ae41e4649b934ca495991b7852b855 ./zynq7/ppips_hclk_r.db`](./zynq7/ppips_hclk_r.db) |
| * [`d300ad4128a192e416a958471013b7554f141fd1f816715828b1e5a87838f18d ./zynq7/ppips_int_l.db`](./zynq7/ppips_int_l.db) |
| * [`46564e746b8d9e37bf46a68f2915bd1395efb68508d48d336a4dfb9342105285 ./zynq7/ppips_int_r.db`](./zynq7/ppips_int_r.db) |
| * [`01526db954ab19098931424b8203c98803894b5563b5272fad665f3a75f0bb3b ./zynq7/ppips_io_int_interface_r.db`](./zynq7/ppips_io_int_interface_r.db) |
| * [`df11ac1c71eefa9c06abe06bc932d36368977543fba9666ee1b36e8417cd9f78 ./zynq7/ppips_rioi3.db`](./zynq7/ppips_rioi3.db) |
| * [`0c6263c13669085c09a61f25d68786d8f6c9b12b162fe2cd6c9a50114106f739 ./zynq7/ppips_rioi3_sing.db`](./zynq7/ppips_rioi3_sing.db) |
| * [`76c5978b345f11a9e46733a98875a6c419b75cf863a0e42d05e9ac94f9795bfc ./zynq7/ppips_rioi3_tbytesrc.db`](./zynq7/ppips_rioi3_tbytesrc.db) |
| * [`8a2136e564ac92c06b226ef8715a122050fcabbb063f69eeaf46cfee5c89670f ./zynq7/segbits_bram_l.block_ram.db`](./zynq7/segbits_bram_l.block_ram.db) |
| * [`53d975bf59b763b9f764106db362ee7f6a753e9e72a5e2be334041658a5ea4ba ./zynq7/segbits_bram_l.db`](./zynq7/segbits_bram_l.db) |
| * [`a635577b55878c69df492c16b67a1dfbd1d4b786a695abe3e95a62d9540ecea5 ./zynq7/segbits_bram_r.block_ram.db`](./zynq7/segbits_bram_r.block_ram.db) |
| * [`b826680f3768091cb345ca6e62e3210ffb53a88ebdfdf4ca70f466f80cdacb1f ./zynq7/segbits_bram_r.db`](./zynq7/segbits_bram_r.db) |
| * [`ef6706ef033396c75469738223e66d1b5f38b832e27b5bb80f07efd571e28fb7 ./zynq7/segbits_clbll_l.db`](./zynq7/segbits_clbll_l.db) |
| * [`53c0ea2b05a2c4ddf2b6cce38073534d0c21b893fc5783dc777d97de2f2d6a9e ./zynq7/segbits_clbll_r.db`](./zynq7/segbits_clbll_r.db) |
| * [`e6459c01d0c1c7724fa02716103fd02a3e2a75d6b7326f4c937f158a264ffe85 ./zynq7/segbits_clblm_l.db`](./zynq7/segbits_clblm_l.db) |
| * [`5862b402a5e0a95be5f140112678fd39e1dc039bc339fda0e58111ca1ee9cb6e ./zynq7/segbits_clblm_r.db`](./zynq7/segbits_clblm_r.db) |
| * [`b5e65e9c7e93a2d83f34aacf0e052154324afed5d6ba77008e3f79dedc8caf32 ./zynq7/segbits_clk_bufg_bot_r.db`](./zynq7/segbits_clk_bufg_bot_r.db) |
| * [`3b9c63e801f7c8b683f2fbe0f64410a76abf611cbe001c30448da35a941d116d ./zynq7/segbits_clk_bufg_rebuf.db`](./zynq7/segbits_clk_bufg_rebuf.db) |
| * [`0124518f026fd29ff7d48e9fe12fd9c5b189e8ca9e1257dc9decb714ee45c27b ./zynq7/segbits_clk_bufg_top_r.db`](./zynq7/segbits_clk_bufg_top_r.db) |
| * [`baafdf449690428a45c1ecd6f7b774779cd7485438560f154f18d142663c1770 ./zynq7/segbits_clk_hrow_bot_r.db`](./zynq7/segbits_clk_hrow_bot_r.db) |
| * [`4737c35514cc6acc472db3e06ffe048669a749199392a999dc65f2f0e779d8a3 ./zynq7/segbits_clk_hrow_top_r.db`](./zynq7/segbits_clk_hrow_top_r.db) |
| * [`0c4a6e4bc385a8b3a43d05a06d8e87c8822cf2cc1742593167244ff194af4a5e ./zynq7/segbits_dsp_l.db`](./zynq7/segbits_dsp_l.db) |
| * [`b014d7e2b101b0b0540a539cb74a76ccbe3a494e225e8e510bf258a457b18685 ./zynq7/segbits_dsp_r.db`](./zynq7/segbits_dsp_r.db) |
| * [`1c2c7229781a4a1d51bbbdeea76238b10497c043aaadf2a76de783041a201878 ./zynq7/segbits_hclk_l.db`](./zynq7/segbits_hclk_l.db) |
| * [`51288ec0be63172fcb2a12a92853150c62a21e894c2d42a2586046c462bf57a9 ./zynq7/segbits_hclk_r.db`](./zynq7/segbits_hclk_r.db) |
| * [`39088cc92049e22e4d8a49aa0b7cc2ab468b9994a2e1d1c65388b3df6a0fc290 ./zynq7/segbits_int_l.db`](./zynq7/segbits_int_l.db) |
| * [`760f9291e1c4ff7c9d0e8a35e9047d4bb157ee9b99ccafbfa1329daa39de48b1 ./zynq7/segbits_int_r.db`](./zynq7/segbits_int_r.db) |
| * [`bed06fc405947c72a47a7fbac7adbc220efd2dc8d73f321ed70b8d2490ab745b ./zynq7/segbits_liob33.db`](./zynq7/segbits_liob33.db) |
| * [`8ec421f8f4ce1bab7e81bcbf0cbdc37fb3f6ed4715bc2fdf75db336805efc53e ./zynq7/segbits_riob33.db`](./zynq7/segbits_riob33.db) |
| * [`ee26e7dbf78c2a37118c49ce7edb5fa44afd51850a24824ba8b68e34366f0787 ./zynq7/settings.sh`](./zynq7/settings.sh) |
| * [`ac6ba9ad814503f0fdc1dabb4292aaccd1a2195f5b348276cfee12aed3d96a70 ./zynq7/site_type_BSCAN.json`](./zynq7/site_type_BSCAN.json) |
| * [`64724ba2f8af98df5e1d92e5c2da2e6d5a41eec6580f796405e271dadb4e63be ./zynq7/site_type_BUFGCTRL.json`](./zynq7/site_type_BUFGCTRL.json) |
| * [`aa69b29ddfd61c2e1c8a3f7136486baa7165eb7d5cf46868b5ac1ee5f776f381 ./zynq7/site_type_BUFHCE.json`](./zynq7/site_type_BUFHCE.json) |
| * [`01b21db6c1e64995e74cb8ad29e244692e26dc19f3038a70dec569094d699018 ./zynq7/site_type_BUFIO.json`](./zynq7/site_type_BUFIO.json) |
| * [`d16f5baaba75a01d36a60efa8c07c3fddca230e11b496871b04e8f3823e25916 ./zynq7/site_type_BUFMRCE.json`](./zynq7/site_type_BUFMRCE.json) |
| * [`a5a19be7b32a9e26182a2646cf8608e98e6ec4aaf285baea3ab039078788e109 ./zynq7/site_type_BUFR.json`](./zynq7/site_type_BUFR.json) |
| * [`a06dc3686fd07cb3b0776319d7fc91431ae6bb297f3783e827989aa0e9e97fe7 ./zynq7/site_type_CAPTURE.json`](./zynq7/site_type_CAPTURE.json) |
| * [`d6d095ed918127a2970d71c73cf668124fc000fcf37ad5eec803dbd9efbe9a17 ./zynq7/site_type_DCIRESET.json`](./zynq7/site_type_DCIRESET.json) |
| * [`012311ea9db7d8d1a88c6a8ad13bec27d8d77295f854aa74846f14b28cefcc75 ./zynq7/site_type_DNA_PORT.json`](./zynq7/site_type_DNA_PORT.json) |
| * [`7bc10b6943898ec18297f8e2d94c294ad4e9b4899f1ca5e84eba3f8c55eea436 ./zynq7/site_type_DSP48E1.json`](./zynq7/site_type_DSP48E1.json) |
| * [`04cfc4a15f1a10add40b9d1d10f987293f89e5e1aef984c3cd9ecca781b02909 ./zynq7/site_type_EFUSE_USR.json`](./zynq7/site_type_EFUSE_USR.json) |
| * [`81110626cbec259d60eb732ea135546bfc69b8f26d5f45d34a54e4e5fba30f9d ./zynq7/site_type_FIFO18E1.json`](./zynq7/site_type_FIFO18E1.json) |
| * [`bcd387295f597629764677c85865ef34930e82d84999843163f6415ee83470d9 ./zynq7/site_type_FRAME_ECC.json`](./zynq7/site_type_FRAME_ECC.json) |
| * [`798da94b860f4cf48ef481cc0d647f46e7a2d3d7525c9300a671af5fa60b945a ./zynq7/site_type_ICAP.json`](./zynq7/site_type_ICAP.json) |
| * [`186345922143f4f47e397a9ad0d21f0f22f62210c77035c836f6fce7cfb82809 ./zynq7/site_type_IDELAYCTRL.json`](./zynq7/site_type_IDELAYCTRL.json) |
| * [`f6d9451dfc382946eb679d9c8de40fc5386a03ce710b471c5ff01561c5f9e84a ./zynq7/site_type_IDELAYE2.json`](./zynq7/site_type_IDELAYE2.json) |
| * [`3ea826fe33445c45d450e9600640830dde8a53d9ef0a32e8777d40842c25dc1e ./zynq7/site_type_ILOGICE3.json`](./zynq7/site_type_ILOGICE3.json) |
| * [`cffd17f7cce991b97d3af468b7462d8d3d72fa58423b715545a488ea5d9358e5 ./zynq7/site_type_IN_FIFO.json`](./zynq7/site_type_IN_FIFO.json) |
| * [`f615da462018bb36b8f28fb5dc5b3871581a7f33b6ed7704bd6787f586c2ab5c ./zynq7/site_type_IOB33.json`](./zynq7/site_type_IOB33.json) |
| * [`94d649164e7dd8724b49e2fe16a3d1ec8dd37994f6ee53fe52aff2fd61604737 ./zynq7/site_type_IOB33M.json`](./zynq7/site_type_IOB33M.json) |
| * [`f26fbc06575fa2d5abde06ec617af86bf386473dd97e6eff17c3929c87e889fb ./zynq7/site_type_IOB33S.json`](./zynq7/site_type_IOB33S.json) |
| * [`76bfa959e57791ca27a135530290504fd686a1d771b4f174494fa11dae5320ba ./zynq7/site_type_IOPAD.json`](./zynq7/site_type_IOPAD.json) |
| * [`57f36c456bb9936328761cba5d98ac11aef35480951e4bbd01ebff87f281da35 ./zynq7/site_type_IPAD.json`](./zynq7/site_type_IPAD.json) |
| * [`57376aa966edcaf803d50d2a88fa393149915e35175f353375d09c0d41e10172 ./zynq7/site_type_MMCME2_ADV.json`](./zynq7/site_type_MMCME2_ADV.json) |
| * [`dc96b19ee6e827360c59e845bbf9ea559ea881226a0344fd932fa691d53a099d ./zynq7/site_type_OLOGICE3.json`](./zynq7/site_type_OLOGICE3.json) |
| * [`c42ae28d4ea844f7bdd8eee4cafd9e5c5a1fff1b37206311cb0c9496f033b111 ./zynq7/site_type_OUT_FIFO.json`](./zynq7/site_type_OUT_FIFO.json) |
| * [`aeb8e6803ff63bb256644a65840ed39dcb64fccb3e01b44ae3479ae67f3341ac ./zynq7/site_type_PHASER_IN_PHY.json`](./zynq7/site_type_PHASER_IN_PHY.json) |
| * [`9d56de422cf14b2886d45d8b88a38ae159bd66630f98aea1acb1b1bd1321d52d ./zynq7/site_type_PHASER_OUT_PHY.json`](./zynq7/site_type_PHASER_OUT_PHY.json) |
| * [`eafe5543b8d36752a006772e9c1046331157c3f6fcaead642a1d93b6ec3c5caa ./zynq7/site_type_PHASER_REF.json`](./zynq7/site_type_PHASER_REF.json) |
| * [`684700d5ca81c587e4cb36b4e4832d09c295f2832c1fb6eadc8142b5d93451d3 ./zynq7/site_type_PHY_CONTROL.json`](./zynq7/site_type_PHY_CONTROL.json) |
| * [`d7d4341d7e1029cf59f70de76a59c627510c97a421964d1bb7d8e83bd918aa4f ./zynq7/site_type_PLLE2_ADV.json`](./zynq7/site_type_PLLE2_ADV.json) |
| * [`c01006fabc55d6b03265a3cc14576c9e28ccc37109331daef8cfdef3e726a029 ./zynq7/site_type_PMV2.json`](./zynq7/site_type_PMV2.json) |
| * [`59c2e67b36f6fb734a32526b3949d08751b29e113a53b57cac458d2100d3b9d3 ./zynq7/site_type_PS7.json`](./zynq7/site_type_PS7.json) |
| * [`261782a60bf2c6419f6b9bc41e830003cdd000c0b96b6c1b0a2713ba49f62348 ./zynq7/site_type_RAMB18E1.json`](./zynq7/site_type_RAMB18E1.json) |
| * [`df462af9d9cad14c6c500bbd01b30d0620320851692e5330ebb16b01250a81da ./zynq7/site_type_RAMBFIFO36E1.json`](./zynq7/site_type_RAMBFIFO36E1.json) |
| * [`5237c206807877602106a66506a2a73bc345fca67189ca7873bbfc6d8b52be53 ./zynq7/site_type_SLICEL.json`](./zynq7/site_type_SLICEL.json) |
| * [`a0af169e3f78dbb650a631ae61c2a7bbe175e48942729f4a5aed2351f1844439 ./zynq7/site_type_SLICEM.json`](./zynq7/site_type_SLICEM.json) |
| * [`bfd80f11db70c478290494ee936a172aac3ebd2351c9d82a0ebd10189a389c6f ./zynq7/site_type_STARTUP.json`](./zynq7/site_type_STARTUP.json) |
| * [`8e5baf846e629316cefb781c26c09b6a39ca509d03dd381967c3e92f429dbda3 ./zynq7/site_type_TIEOFF.json`](./zynq7/site_type_TIEOFF.json) |
| * [`4a52214be0712e1f5e3746c304d3299fd2bfa9e578956df1d6fcd6128614da12 ./zynq7/site_type_USR_ACCESS.json`](./zynq7/site_type_USR_ACCESS.json) |
| * [`f711f285e16aa11d4827ce8504e9413c8ccf87f9f86d108740738ae6cbb4f388 ./zynq7/site_type_XADC.json`](./zynq7/site_type_XADC.json) |
| * [`0bfdad62f04128ca4d469aa18b179cbd3bf78e40c6af50450c9ca85bfffd746f ./zynq7/tile_type_BRAM_INT_INTERFACE_L.json`](./zynq7/tile_type_BRAM_INT_INTERFACE_L.json) |
| * [`fd0b3b31118249e66193fa06633a58aa5d86820bed16d3f85497b886d2282845 ./zynq7/tile_type_BRAM_INT_INTERFACE_R.json`](./zynq7/tile_type_BRAM_INT_INTERFACE_R.json) |
| * [`23af85ab67092eb90d6b05c3bff539499494eaecb07b5063baa2aa494063a1ec ./zynq7/tile_type_BRAM_L.json`](./zynq7/tile_type_BRAM_L.json) |
| * [`3f080d03ca1d85aa81c2bae209cb401b8dcddd6e115ea8d16d735f2b4e6fc892 ./zynq7/tile_type_BRAM_R.json`](./zynq7/tile_type_BRAM_R.json) |
| * [`29e4879a736ff9d43178ba3887ba47b8f1190464dabf4eef7c8fe8d8d23647c2 ./zynq7/tile_type_BRKH_BRAM.json`](./zynq7/tile_type_BRKH_BRAM.json) |
| * [`1adbede824487b01b77eed4443ff5434c9473a067dae3c620df3ccca800951ac ./zynq7/tile_type_BRKH_CLB.json`](./zynq7/tile_type_BRKH_CLB.json) |
| * [`d036cb35cb1bb3237b76f2e755fd3e5902e4588b03e565e4c01ecaa6429457fa ./zynq7/tile_type_BRKH_CLK.json`](./zynq7/tile_type_BRKH_CLK.json) |
| * [`ec60392fdf039d697e2de0b6c856d118a52ac7fb5bc50da206802f98a8967ea6 ./zynq7/tile_type_BRKH_CMT.json`](./zynq7/tile_type_BRKH_CMT.json) |
| * [`721f0a9fab25908b7ae0da9b94903a8ca1cb63d42dc5119d7b143309d27156fd ./zynq7/tile_type_BRKH_DSP_L.json`](./zynq7/tile_type_BRKH_DSP_L.json) |
| * [`db175274054c15c1cf7093a5117628fb30f27ddd50a29eabcc894e39236f95d8 ./zynq7/tile_type_BRKH_DSP_R.json`](./zynq7/tile_type_BRKH_DSP_R.json) |
| * [`68c36646e682266cb3aecade1627160b22112d72b5859f4aae3cd32df488422a ./zynq7/tile_type_BRKH_INT.json`](./zynq7/tile_type_BRKH_INT.json) |
| * [`b3700d8432a5ea4375fab4419bba143bc79dfd137a7110117ea085d79a2dd766 ./zynq7/tile_type_B_TERM_INT.json`](./zynq7/tile_type_B_TERM_INT.json) |
| * [`db3f1d44e0db5cf61bc97ee1c2002584e4588c473d412ca6739132fedabfa08b ./zynq7/tile_type_B_TERM_INT_PSS.json`](./zynq7/tile_type_B_TERM_INT_PSS.json) |
| * [`89e6d861ce30aaeb1df937f32aac00d4121de3089ea2bfa74945f93f1c4303b4 ./zynq7/tile_type_B_TERM_VBRK.json`](./zynq7/tile_type_B_TERM_VBRK.json) |
| * [`606581f9ab6d5c8ded71371ea6806e741b0739e5e32e69c503e4ebddc9544ec9 ./zynq7/tile_type_CFG_CENTER_BOT.json`](./zynq7/tile_type_CFG_CENTER_BOT.json) |
| * [`820a133d2cdab23ca7c64570daa391e3329826759fa82b2d12914878676274ce ./zynq7/tile_type_CFG_CENTER_MID.json`](./zynq7/tile_type_CFG_CENTER_MID.json) |
| * [`cc6b420c4804236a1b2928e5c86cfa6f6143b93843e40081d14c2bfd5d5e76a8 ./zynq7/tile_type_CFG_CENTER_TOP.json`](./zynq7/tile_type_CFG_CENTER_TOP.json) |
| * [`9fc927b122dbb55a74c48f846abf42ffc92537365d5524866b47d2217f70067f ./zynq7/tile_type_CFG_SECURITY_BOT_PELE1.json`](./zynq7/tile_type_CFG_SECURITY_BOT_PELE1.json) |
| * [`8eaac15316c7feb9da13a331e52d3c5f140fd92b4bcde5ceb5495fc35bef2c4d ./zynq7/tile_type_CFG_SECURITY_MID_PELE1.json`](./zynq7/tile_type_CFG_SECURITY_MID_PELE1.json) |
| * [`9f229626a932dd9ea0db5f82d923089f8d14f7495e2db348a9bcd4413528591b ./zynq7/tile_type_CFG_SECURITY_TOP_PELE1.json`](./zynq7/tile_type_CFG_SECURITY_TOP_PELE1.json) |
| * [`0cf36c0ab629c583c01ae9efa04093e0644da71b7b0dfbc175dfcf9ed56650d5 ./zynq7/tile_type_CLBLL_L.json`](./zynq7/tile_type_CLBLL_L.json) |
| * [`3607f851807c3b420d21b4fe0c0b26b91db19d1384ba39d45f4c771f7251544e ./zynq7/tile_type_CLBLL_R.json`](./zynq7/tile_type_CLBLL_R.json) |
| * [`8f91f81d6f549d0f728dbab89baca64bae44491b1b0df30ae6ca4193b6eed951 ./zynq7/tile_type_CLBLM_L.json`](./zynq7/tile_type_CLBLM_L.json) |
| * [`50812dbe755a110f8e33285728a9b565d46d1e71e76e63085fc6d1dea4f4dee7 ./zynq7/tile_type_CLBLM_R.json`](./zynq7/tile_type_CLBLM_R.json) |
| * [`3ab28fa68486317ac22e260c8d0ac81bcccc0b214cff21b66cda2cf0974d62bb ./zynq7/tile_type_CLK_BUFG_BOT_R.json`](./zynq7/tile_type_CLK_BUFG_BOT_R.json) |
| * [`7e7b949435c6724c886ab674148e7a241d7761b63d8b700fbeb2b3f4105329bb ./zynq7/tile_type_CLK_BUFG_REBUF.json`](./zynq7/tile_type_CLK_BUFG_REBUF.json) |
| * [`b1fdae383da0691975b3836a0a66fa566165de094e4bd416d664dc32f2d010c8 ./zynq7/tile_type_CLK_BUFG_TOP_R.json`](./zynq7/tile_type_CLK_BUFG_TOP_R.json) |
| * [`9900c1d7c03b75bb765c57b00b20fbefd09efeccb325afba72901b941d5db0de ./zynq7/tile_type_CLK_FEED.json`](./zynq7/tile_type_CLK_FEED.json) |
| * [`fa0923a2169819ecc93697c7255aef24e9dbee2a3c5d8c1df3f86956e0bc8b08 ./zynq7/tile_type_CLK_HROW_BOT_R.json`](./zynq7/tile_type_CLK_HROW_BOT_R.json) |
| * [`71f60f081cb9718ca95f3c004034dde427a1323ae4f71f94c68f3ecb961f1d2f ./zynq7/tile_type_CLK_HROW_TOP_R.json`](./zynq7/tile_type_CLK_HROW_TOP_R.json) |
| * [`3d200f97f5d0608d4577dcaf9ae59c34be18f4d1406aa71815d56327fc2a3564 ./zynq7/tile_type_CLK_MTBF2.json`](./zynq7/tile_type_CLK_MTBF2.json) |
| * [`0163ab8305f14d439e303fc072bf980549efd65c42494e468bc2b2e0bd3ff0a6 ./zynq7/tile_type_CLK_PMV.json`](./zynq7/tile_type_CLK_PMV.json) |
| * [`1e08a2d1f2c7e0ec12b0eec202c3759fbfc82fab01b9d0b5d1658299d8ac5506 ./zynq7/tile_type_CLK_PMV2.json`](./zynq7/tile_type_CLK_PMV2.json) |
| * [`bf52b93861ca5856dab593dde196a21ab8a9522b4eb58f13fe206beaba8c78f2 ./zynq7/tile_type_CLK_PMV2_SVT.json`](./zynq7/tile_type_CLK_PMV2_SVT.json) |
| * [`e7123b7dbeba2ebbf4a6ae04fb87bd114548befc9bb812d7bf4bee3401aa44fa ./zynq7/tile_type_CLK_PMVIOB.json`](./zynq7/tile_type_CLK_PMVIOB.json) |
| * [`42236b4ea5a40883822299aef2c5eb6ef2adb30c715145a9c36c5dd9e84e102e ./zynq7/tile_type_CLK_TERM.json`](./zynq7/tile_type_CLK_TERM.json) |
| * [`f985c5c1c1186eb314e1bd727b4195b88f69739fcb991efbafee963310b880f9 ./zynq7/tile_type_CMT_FIFO_L.json`](./zynq7/tile_type_CMT_FIFO_L.json) |
| * [`9207ebd19f94b6a3a9d8ea08f1fe78dcf592d3b5b5f541694a23d5dc1a9163e3 ./zynq7/tile_type_CMT_PMV_L.json`](./zynq7/tile_type_CMT_PMV_L.json) |
| * [`63d8187207a325d174e8d509014200531f3e11236e5064c2675871ca42fbbffa ./zynq7/tile_type_CMT_TOP_L_LOWER_B.json`](./zynq7/tile_type_CMT_TOP_L_LOWER_B.json) |
| * [`129c5c28dee6d7cc79263d280a391c07b5db326124ad1e973582643d9eadff3a ./zynq7/tile_type_CMT_TOP_L_LOWER_T.json`](./zynq7/tile_type_CMT_TOP_L_LOWER_T.json) |
| * [`3c645c7e32529af66b278c8c06734bb052d1be00ff801772d28147b1e62da2ff ./zynq7/tile_type_CMT_TOP_L_UPPER_B.json`](./zynq7/tile_type_CMT_TOP_L_UPPER_B.json) |
| * [`e008d249e1f1dafa57e4ac276826c60e24b7fd29ec4e5acafd078c0604631afc ./zynq7/tile_type_CMT_TOP_L_UPPER_T.json`](./zynq7/tile_type_CMT_TOP_L_UPPER_T.json) |
| * [`4ddd2c3e96995a4acf4320877f3ab6ade22d9b475eb8b2e46cb64c325b92e386 ./zynq7/tile_type_DSP_L.json`](./zynq7/tile_type_DSP_L.json) |
| * [`b7f2ec5fcaf13becd7a73baa9271370dd80ccc24a1dc52bbe4ec2a450aabd7ad ./zynq7/tile_type_DSP_R.json`](./zynq7/tile_type_DSP_R.json) |
| * [`05eb17dc54b29fac95e4b2ac067139b528c1bc7f5cb78b672e6941a2966ec7bb ./zynq7/tile_type_HCLK_BRAM.json`](./zynq7/tile_type_HCLK_BRAM.json) |
| * [`307db3c561c03036e0460d24af8d435631bbacef7f81c0385f6179673d818d50 ./zynq7/tile_type_HCLK_CLB.json`](./zynq7/tile_type_HCLK_CLB.json) |
| * [`4af6db5c406dd683670c77fe2dbfcfd64b0d079e59e3082cfc4e578789cddf45 ./zynq7/tile_type_HCLK_CMT_L.json`](./zynq7/tile_type_HCLK_CMT_L.json) |
| * [`cbcd13d3b6a78888a73e22e1e33e56c80b5fcb23c4d1baf938b4b6daa02173f7 ./zynq7/tile_type_HCLK_DSP_L.json`](./zynq7/tile_type_HCLK_DSP_L.json) |
| * [`dacc707f9e2db1d6752f833cf0559536423baf915a848b3ff641373f4762793f ./zynq7/tile_type_HCLK_DSP_R.json`](./zynq7/tile_type_HCLK_DSP_R.json) |
| * [`c1d33fee3af7b2ba311bad50d6f8b771303ebd8241e617ec638b1fcb8d2c4ee0 ./zynq7/tile_type_HCLK_FEEDTHRU_1.json`](./zynq7/tile_type_HCLK_FEEDTHRU_1.json) |
| * [`2c887222cc585d9f90588029f5076f4a6dc8b7449928f5ba1d919845076c0b9d ./zynq7/tile_type_HCLK_FEEDTHRU_1_PELE.json`](./zynq7/tile_type_HCLK_FEEDTHRU_1_PELE.json) |
| * [`0e991e5fc85e54835a7de8da8456ee1300d97d798fb12d16c521a9163500a20c ./zynq7/tile_type_HCLK_FEEDTHRU_2.json`](./zynq7/tile_type_HCLK_FEEDTHRU_2.json) |
| * [`1631fbdf6e3158d6e372508b55e32e3e638b270e0ca606359b4ad060f6337cea ./zynq7/tile_type_HCLK_FIFO_L.json`](./zynq7/tile_type_HCLK_FIFO_L.json) |
| * [`6a66fa18fdad81ae738e61f650066415a2adc7d15b15ab87b5080faff3edb9e1 ./zynq7/tile_type_HCLK_INT_INTERFACE.json`](./zynq7/tile_type_HCLK_INT_INTERFACE.json) |
| * [`51fbaa9613664a08814f372c5791189ceb855720997334f55e52872cc6d4c46f ./zynq7/tile_type_HCLK_IOB.json`](./zynq7/tile_type_HCLK_IOB.json) |
| * [`5e15b63a15fd7864d838d448599718e5f82e8caafa8fd316eb19374e20c0d89c ./zynq7/tile_type_HCLK_IOI3.json`](./zynq7/tile_type_HCLK_IOI3.json) |
| * [`2c39172c06f58c30f92d140c6c7c060777b1b3f397a23b9cf82a41a656da82ef ./zynq7/tile_type_HCLK_L.json`](./zynq7/tile_type_HCLK_L.json) |
| * [`782d62d7a78ca8282570a945739057b1801795271764120ff4f20696a36e9354 ./zynq7/tile_type_HCLK_R.json`](./zynq7/tile_type_HCLK_R.json) |
| * [`5b459ee856bd5417b0c61831120d27cebb7f5c6ae4952470bdc6dc6bad6c5b49 ./zynq7/tile_type_HCLK_TERM.json`](./zynq7/tile_type_HCLK_TERM.json) |
| * [`e706c7cf142b8e806283d3cf030f89e30149bad7b2f156e739e2f41247922792 ./zynq7/tile_type_HCLK_VBRK.json`](./zynq7/tile_type_HCLK_VBRK.json) |
| * [`acabe2c19ef9286451b67f889608af10b57c4149be795c7b9e96c700e673741a ./zynq7/tile_type_HCLK_VFRAME.json`](./zynq7/tile_type_HCLK_VFRAME.json) |
| * [`fe9a6b9109c94abc0860142566f1d6c292b5313f2ebe641dbd3f4d41671d05a2 ./zynq7/tile_type_INT_FEEDTHRU_1.json`](./zynq7/tile_type_INT_FEEDTHRU_1.json) |
| * [`1ff618718c404f469eed1fc7499db1a7bcfa90bf152b317b07511d1e070d7622 ./zynq7/tile_type_INT_FEEDTHRU_2.json`](./zynq7/tile_type_INT_FEEDTHRU_2.json) |
| * [`08db2bc2bc634b16af1988b445a896ffdbe75e2275647657dd44dbc9e436ec9f ./zynq7/tile_type_INT_INTERFACE_L.json`](./zynq7/tile_type_INT_INTERFACE_L.json) |
| * [`39d9152faf8afe07a8605aaf0e775b2668dca2eed3a46e4c1b6d444f594308db ./zynq7/tile_type_INT_INTERFACE_PSS_L.json`](./zynq7/tile_type_INT_INTERFACE_PSS_L.json) |
| * [`3f04e660e8a477ae99b5349c70d4bb420ed61c823ead17915a2900cc2210ad46 ./zynq7/tile_type_INT_INTERFACE_R.json`](./zynq7/tile_type_INT_INTERFACE_R.json) |
| * [`cc47a410209b8beb6140d0216de2b298547116a90f4cd7cf9674785e838f4c36 ./zynq7/tile_type_INT_L.json`](./zynq7/tile_type_INT_L.json) |
| * [`784502f54f667eb147924b061bc62829588d0e43673f32fd9d45113b6f740457 ./zynq7/tile_type_INT_R.json`](./zynq7/tile_type_INT_R.json) |
| * [`cf049a6c528634761c6067610f50110102caadc782a33b855f4059df8ed064d9 ./zynq7/tile_type_IO_INT_INTERFACE_R.json`](./zynq7/tile_type_IO_INT_INTERFACE_R.json) |
| * [`ec029a5a1ca3912c5582864edab9b46e0e955e901a8f08264bf9ec3fba0aca0d ./zynq7/tile_type_MONITOR_BOT_PELE1.json`](./zynq7/tile_type_MONITOR_BOT_PELE1.json) |
| * [`6ead1217a6413d2ce272c1447b230031b508d1cc897ec80260d4afb03f66dcdf ./zynq7/tile_type_MONITOR_MID_PELE1.json`](./zynq7/tile_type_MONITOR_MID_PELE1.json) |
| * [`1c88d8e7f113af2e568b2ddaa0f0a7da71bd5fcb97a19aca2caef1d963e60e3a ./zynq7/tile_type_MONITOR_TOP_PELE1.json`](./zynq7/tile_type_MONITOR_TOP_PELE1.json) |
| * [`880cdcd99af7ea01e4ee142860e0900c6c3503da3b3582837fedba1a2cafa852 ./zynq7/tile_type_NULL.json`](./zynq7/tile_type_NULL.json) |
| * [`944d9c69913b23cac150f0c80c14284d57fab43f69202a6cc63afaddce23221b ./zynq7/tile_type_PCIE_NULL.json`](./zynq7/tile_type_PCIE_NULL.json) |
| * [`a122f8026a2a5edab39eabc9117a63bc29fb1d2aeaf7b1afd2b40d1b493afa4d ./zynq7/tile_type_PSS0.json`](./zynq7/tile_type_PSS0.json) |
| * [`178db0b66318b31f8852f82297ab39d02287feca33c4fd8284f1c0e19791082a ./zynq7/tile_type_PSS1.json`](./zynq7/tile_type_PSS1.json) |
| * [`930dab9d5b0aab1594cb0ef933495683925bde169d9c1128304d5a0062a7906d ./zynq7/tile_type_PSS2.json`](./zynq7/tile_type_PSS2.json) |
| * [`a40337531311fa9e1bc0371b0deee86008a7cba18f3924a62b0e684f9f1b4537 ./zynq7/tile_type_PSS3.json`](./zynq7/tile_type_PSS3.json) |
| * [`bda246d0e8ea8ca946ba6877502428ed0fd52240fb6fc5339d9b263653c0cf93 ./zynq7/tile_type_PSS4.json`](./zynq7/tile_type_PSS4.json) |
| * [`a01a9bfa1d6ac7762d56b57487ab1f4efa8f53e77c6fa452adfa3aff120811fb ./zynq7/tile_type_RIOB33.json`](./zynq7/tile_type_RIOB33.json) |
| * [`66ea3a8940b40915699e7e2fa37b3d65403e7f5d51afe0daf14537e662da9385 ./zynq7/tile_type_RIOB33_SING.json`](./zynq7/tile_type_RIOB33_SING.json) |
| * [`96029c4d8a29149b3aa063bbcd3a64bbbf28f987e8e491d2630f7e78d47354b2 ./zynq7/tile_type_RIOI3.json`](./zynq7/tile_type_RIOI3.json) |
| * [`6c8c8745a8bcd8ebcf6396dfda55fd7b958b2de19ac1a926e412716b7d8dd2b2 ./zynq7/tile_type_RIOI3_SING.json`](./zynq7/tile_type_RIOI3_SING.json) |
| * [`89b4d83a435609119ca878a4cdbfc3fc31c8c30d66459bf3d84b4c8c012c1139 ./zynq7/tile_type_RIOI3_TBYTESRC.json`](./zynq7/tile_type_RIOI3_TBYTESRC.json) |
| * [`e188cfd52a8cd3edb869bd29a02e95e8cfc06688727982f9c364c54b5d20c409 ./zynq7/tile_type_RIOI3_TBYTETERM.json`](./zynq7/tile_type_RIOI3_TBYTETERM.json) |
| * [`16627ffc9c74acf89474ad03993367d2210f40d4ab07a8c71c98d9ad652f2ca8 ./zynq7/tile_type_R_TERM_INT.json`](./zynq7/tile_type_R_TERM_INT.json) |
| * [`19503481fb531f7ddc5f92fdc7c97a817ce1cf550e128604041c771f2234b7fa ./zynq7/tile_type_TERM_CMT.json`](./zynq7/tile_type_TERM_CMT.json) |
| * [`f5ebbeee5575e5fbc1fb5d532f021e4ee8647de21b3874caac655d8c849a9ff3 ./zynq7/tile_type_T_TERM_INT.json`](./zynq7/tile_type_T_TERM_INT.json) |
| * [`dee783006fa5b5964d20457323cad59171a60397d730e9fe0840389587695727 ./zynq7/tile_type_VBRK.json`](./zynq7/tile_type_VBRK.json) |
| * [`004efcd7f9e172780ca7b8c379ec329bcfc52f86beaa1d997f41dbef7ac4a242 ./zynq7/tile_type_VFRAME.json`](./zynq7/tile_type_VFRAME.json) |
| * [`e6d0ebf9b27f60f4afdab85a357bff4d7cf2cd77c3a6c0f2d887022cda874066 ./zynq7/tileconn.json`](./zynq7/tileconn.json) |
| * [`2f47bc43658a75f97bc3b2eab79d2a5d3adc9cb008609d2ed94ddb76e1386e11 ./zynq7/tilegrid.json`](./zynq7/tilegrid.json) |
| * [`f3704845c7559e0289c9a1c6f42a7874be6d5d7aef3e0f285647b8ca62a154b3 ./zynq7/xc7z010clg400-1.json`](./zynq7/xc7z010clg400-1.json) |
| * [`43a136f26603c51bd97e9489d223bbc80f278fcc234225ed9fde404402f22683 ./zynq7/xc7z010clg400-1.yaml`](./zynq7/xc7z010clg400-1.yaml) |