test update

Signed-off-by: Alain <alainmarcel@yahoo.com>
diff --git a/src/SourceCompile/PreprocessFile.cpp b/src/SourceCompile/PreprocessFile.cpp
index 163898a..75a4f0d 100644
--- a/src/SourceCompile/PreprocessFile.cpp
+++ b/src/SourceCompile/PreprocessFile.cpp
@@ -68,7 +68,7 @@
       m_debugPPResult = false;
       m_debugPPTokens = false;
       m_debugPPTree = false;
-      m_debugMacro = false;
+      m_debugMacro = true;
       break;
     case 2:
       m_debugPP = true;
@@ -964,13 +964,20 @@
   // Try local file scope
   if (found == false) {
     MacroInfo* info = m_compilationUnit->getMacroInfo(name);
-    if (info) {
-      std::pair<bool, std::string> evalResult = evaluateMacro_(
-          name, arguments, callingFile, callingLine, loopChecker, info,
-          instructions, embeddedMacroCallLine, embeddedMacroCallFile);
-      found = evalResult.first;
-      result = evalResult.second;
-      result = std::regex_replace(result, std::regex("``"), "");
+    if (instructions.m_evaluate == SpecialInstructions::Evaluate) {
+      if (info) {
+        std::pair<bool, std::string> evalResult = evaluateMacro_(
+                name, arguments, callingFile, callingLine, loopChecker, info,
+                instructions, embeddedMacroCallLine, embeddedMacroCallFile);
+        found = evalResult.first;
+        result = evalResult.second;
+        result = std::regex_replace(result, std::regex("``"), "");
+      }
+    } else {
+      if (info) {
+        found = true;
+        result = "";
+      }
     }
   }
   if (found == false) {
diff --git a/src/SourceCompile/PreprocessFile.h b/src/SourceCompile/PreprocessFile.h
index 6e4a9ce..b32168c 100644
--- a/src/SourceCompile/PreprocessFile.h
+++ b/src/SourceCompile/PreprocessFile.h
@@ -152,27 +152,32 @@
       AsIsUndefinedMacro = true,
       ComplainUndefinedMacro = false
     };
+    enum EvaluateInstr : bool { Evaluate = true, DontEvaluate = false };
     SpecialInstructions()
         : m_mute(DontMute),
           m_mark_empty_macro(DontMark),
           m_filterFileLine(DontFilter),
           m_check_macro_loop(DontCheckLoop),
-          m_as_is_undefined_macro(ComplainUndefinedMacro) {}
+          m_as_is_undefined_macro(ComplainUndefinedMacro),
+          m_evaluate(Evaluate){}
     SpecialInstructions(SpecialInstructions& rhs)
         : m_mute(rhs.m_mute),
           m_mark_empty_macro(rhs.m_mark_empty_macro),
           m_filterFileLine(rhs.m_filterFileLine),
           m_check_macro_loop(rhs.m_check_macro_loop),
-          m_as_is_undefined_macro(rhs.m_as_is_undefined_macro) {}
+          m_as_is_undefined_macro(rhs.m_as_is_undefined_macro),
+          m_evaluate(rhs.m_evaluate) {}
     SpecialInstructions(TraceInstr mute, EmptyMacroInstr mark_empty_macro,
                         FileLineInfoInstr filterFileLine,
                         CheckLoopInstr check_macro_loop,
-                        AsIsUndefinedMacroInstr as_is_undefined_macro)
+                        AsIsUndefinedMacroInstr as_is_undefined_macro,
+                        EvaluateInstr evalaute = Evaluate)
         : m_mute(mute),
           m_mark_empty_macro(mark_empty_macro),
           m_filterFileLine(filterFileLine),
           m_check_macro_loop(check_macro_loop),
-          m_as_is_undefined_macro(as_is_undefined_macro) {}
+          m_as_is_undefined_macro(as_is_undefined_macro),
+          m_evaluate(evalaute) {}
     void print() {
       std::cout << "Trace:" << (m_mute ? "Mute" : "DontMute")
                 << ", EmptyMacro:" << (m_mark_empty_macro ? "Mark" : "DontMark")
@@ -183,13 +188,16 @@
                 << ", AsIsUndefMacro:"
                 << (m_as_is_undefined_macro ? "AsIsUndefinedMacro"
                                             : "ComplainUndefinedMacro")
+                << ", Evaluate:"
+                << (m_evaluate ? "Evaluate" : "DontEvaluate")
                 << std::endl;
     };
-    TraceInstr m_mute;
-    EmptyMacroInstr m_mark_empty_macro;
+    TraceInstr        m_mute;
+    EmptyMacroInstr   m_mark_empty_macro;
     FileLineInfoInstr m_filterFileLine;
-    CheckLoopInstr m_check_macro_loop;
+    CheckLoopInstr    m_check_macro_loop;
     AsIsUndefinedMacroInstr m_as_is_undefined_macro;
+    EvaluateInstr     m_evaluate;
   };
 
   std::string evaluateMacroInstance(
diff --git a/src/SourceCompile/SV3_1aPpTreeShapeListener.cpp b/src/SourceCompile/SV3_1aPpTreeShapeListener.cpp
index 4e19c41..a2702cb 100644
--- a/src/SourceCompile/SV3_1aPpTreeShapeListener.cpp
+++ b/src/SourceCompile/SV3_1aPpTreeShapeListener.cpp
@@ -302,14 +302,26 @@
     m_inMacroDefinitionParsing = true;
     SV3_1aPpParser::Simple_macro_definition_bodyContext* cBody =
         ctx->simple_macro_definition_body();
+    
+    std::pair<int, int> lineCol = ParseUtils::getLineColumn(
+        ctx->Simple_identifier() ? ctx->Simple_identifier()
+                                 : ctx->Escaped_identifier());
+    
+    // Immediate evaluate since there are no args
+    //std::string evalBody = m_pp->evaluateMacroInstance(
+    //      cBody->getText(), m_pp, lineCol.first,
+    //      PreprocessFile::SpecialInstructions::CheckLoop,
+    //      PreprocessFile::SpecialInstructions::AsIsUndefinedMacro);
+    //std::vector<std::string> body_tokens;
+    //body_tokens.push_back(evalBody);
+    
     std::vector<Token*> tokens = ParseUtils::getFlatTokenList(cBody);
     std::vector<std::string> body_tokens;
     for (auto token : tokens) {
       body_tokens.push_back(token->getText());
     }
-    std::pair<int, int> lineCol = ParseUtils::getLineColumn(
-        ctx->Simple_identifier() ? ctx->Simple_identifier()
-                                 : ctx->Escaped_identifier());
+    
+    
     checkMultiplyDefinedMacro(macroName, ctx);
     m_pp->recordMacro(macroName, m_pp->getLineNb(lineCol.first), lineCol.second,
                       "", body_tokens);
diff --git a/src/SourceCompile/SV3_1aPpTreeShapeListener.h b/src/SourceCompile/SV3_1aPpTreeShapeListener.h
index a30a9dc..32fae36 100644
--- a/src/SourceCompile/SV3_1aPpTreeShapeListener.h
+++ b/src/SourceCompile/SV3_1aPpTreeShapeListener.h
@@ -34,695 +34,798 @@
 
 namespace SURELOG {
 
-    static std::string EscapeSequence = "#~@";
-    
-    class SV3_1aPpTreeShapeListener : public SV3_1aPpParserBaseListener {
-    private:
-        PreprocessFile* m_pp;
-        bool m_inActiveBranch;
-        bool m_inMacroDefinitionParsing;
-        bool m_inProtectedRegion;
-        bool m_filterProtectedRegions;
-        std::vector<std::string> m_reservedMacroNames;
-        std::set<std::string>    m_reservedMacroNamesSet;
-        ParserRuleContext*       m_append_paused_context;
-        PreprocessFile::SpecialInstructions  m_instructions;
-    public:
+static std::string EscapeSequence = "#~@";
 
-        SV3_1aPpTreeShapeListener(PreprocessFile* pp, PreprocessFile::SpecialInstructions& instructions) :
-             m_pp(pp), m_inActiveBranch(true), m_inMacroDefinitionParsing(false), 
-             m_inProtectedRegion(false), m_filterProtectedRegions(false), m_append_paused_context(NULL), m_instructions(instructions) {
-               init();
+class SV3_1aPpTreeShapeListener : public SV3_1aPpParserBaseListener {
+private:
+    PreprocessFile* m_pp;
+    bool m_inActiveBranch;
+    bool m_inMacroDefinitionParsing;
+    bool m_inProtectedRegion;
+    bool m_filterProtectedRegions;
+    std::vector<std::string> m_reservedMacroNames;
+    std::set<std::string> m_reservedMacroNamesSet;
+    ParserRuleContext* m_append_paused_context;
+    PreprocessFile::SpecialInstructions m_instructions;
+public:
+
+    SV3_1aPpTreeShapeListener(PreprocessFile* pp, PreprocessFile::SpecialInstructions& instructions) :
+    m_pp(pp), m_inActiveBranch(true), m_inMacroDefinitionParsing(false),
+    m_inProtectedRegion(false), m_filterProtectedRegions(false), m_append_paused_context(NULL), m_instructions(instructions)
+    {
+        init();
+    }
+
+    // Helper function if-else
+    void setCurrentBranchActivity();
+    // Helper function if-else
+    bool isPreviousBranchActive();
+    // Helper function to log errors
+    void logError(ErrorDefinition::ErrorType error, ParserRuleContext* ctx, std::string object, bool printColumn = false);
+    void logError(ErrorDefinition::ErrorType, Location& loc, bool showDuplicates = false);
+    void logError(ErrorDefinition::ErrorType, Location& loc, Location& extraLoc, bool showDuplicates = false);
+    void checkMultiplyDefinedMacro(std::string& macroName, ParserRuleContext* ctx);
+    void forwardToParser(ParserRuleContext* ctx);
+    void init();
+
+    SymbolTable* getSymbolTable()
+    {
+        return m_pp->getCompileSourceFile()->getSymbolTable();
+    }
+
+    void enterSource_text(SV3_1aPpParser::Source_textContext * /*ctx*/)
+    {
+        m_pp->getCompilationUnit()->setCurrentTimeInfo(m_pp->getFileId(0));
+    }
+    //void exitSource_text(SV3_1aPpParser::Source_textContext * /*ctx*/)  { }
+
+    //void enterDescription(SV3_1aPpParser::DescriptionContext * /*ctx*/)  { }
+    //void exitDescription(SV3_1aPpParser::DescriptionContext * /*ctx*/)  { }
+
+    void enterComments(SV3_1aPpParser::CommentsContext * ctx);
+    //void exitComments(SV3_1aPpParser::CommentsContext * /*ctx*/)  { }
+
+    void enterNumber(SV3_1aPpParser::NumberContext* ctx);
+
+    //void enterMacro_definition(SV3_1aPpParser::Macro_definitionContext * /*ctx*/) {}
+    //void exitMacro_definition(SV3_1aPpParser::Macro_definitionContext * /*ctx*/)  { }
+
+    void enterLine_directive(SV3_1aPpParser::Line_directiveContext *ctx);
+
+    void exitLine_directive(SV3_1aPpParser::Line_directiveContext * /*ctx*/)
+    {
+        m_pp->resumeAppend();
+    }
+
+    void enterDefault_nettype_directive(SV3_1aPpParser::Default_nettype_directiveContext * ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitDefault_nettype_directive(SV3_1aPpParser::Default_nettype_directiveContext * /*ctx*/)  { }
+
+    void enterSv_file_directive(SV3_1aPpParser::Sv_file_directiveContext *ctx);
+
+    void exitSv_file_directive(SV3_1aPpParser::Sv_file_directiveContext * /*ctx*/)
+    {
+        m_pp->resumeAppend();
+    }
+
+    void enterSv_line_directive(SV3_1aPpParser::Sv_line_directiveContext *ctx);
+
+    void exitSv_line_directive(SV3_1aPpParser::Sv_line_directiveContext * /*ctx*/)
+    {
+        m_pp->resumeAppend();
+    }
+
+    void enterTimescale_directive(SV3_1aPpParser::Timescale_directiveContext * ctx)
+    {
+        if (m_pp->getCompilationUnit()->isInDesignElement()) {
+            std::string directive = "`timescale";
+            getSymbolTable()->registerSymbol(directive);
+            logError(ErrorDefinition::PP_ILLEGAL_DIRECTIVE_IN_DESIGN_ELEMENT, ctx, directive);
         }
+        forwardToParser(ctx);
 
-        // Helper function if-else
-        void setCurrentBranchActivity();
-        // Helper function if-else
-        bool isPreviousBranchActive();
-        // Helper function to log errors
-        void logError(ErrorDefinition::ErrorType error, ParserRuleContext* ctx, std::string object, bool printColumn = false);
-        void logError(ErrorDefinition::ErrorType, Location& loc, bool showDuplicates = false);
-        void logError(ErrorDefinition::ErrorType, Location& loc, Location& extraLoc, bool showDuplicates = false);        
-        void checkMultiplyDefinedMacro(std::string& macroName, ParserRuleContext* ctx);
-        void forwardToParser(ParserRuleContext* ctx);
-        void init();
-        SymbolTable* getSymbolTable() { return m_pp->getCompileSourceFile()->getSymbolTable (); } 
-        
-        void enterSource_text(SV3_1aPpParser::Source_textContext * /*ctx*/)  { 
-           m_pp->getCompilationUnit()->setCurrentTimeInfo(m_pp->getFileId(0));
+        TimeInfo compUnitTimeInfo;
+        compUnitTimeInfo.m_type = TimeInfo::Timescale;
+        compUnitTimeInfo.m_fileId = m_pp->getFileId(0);
+        std::pair<int, int> lineCol = ParseUtils::getLineColumn(ctx->TIMESCALE());
+        compUnitTimeInfo.m_line = lineCol.first;
+        std::regex base_regex("([0-9]+)([mnsupf]+)[ ]*/[ ]*([0-9]+)([mnsupf]+)");
+        std::smatch base_match;
+        std::string value = ctx->TIMESCALE()->getText().c_str();
+        if (std::regex_match(value, base_match, base_regex)) {
+            std::ssub_match base1_sub_match = base_match[1];
+            std::string base1 = base1_sub_match.str();
+            compUnitTimeInfo.m_timeUnitValue = atoi(base1.c_str());
+            compUnitTimeInfo.m_timeUnit = TimeInfo::unitFromString(base_match[2].str());
+            std::ssub_match base2_sub_match = base_match[3];
+            std::string base2 = base2_sub_match.str();
+            compUnitTimeInfo.m_timePrecisionValue = atoi(base2.c_str());
+            compUnitTimeInfo.m_timePrecision = TimeInfo::unitFromString(base_match[4].str());
         }
-        //void exitSource_text(SV3_1aPpParser::Source_textContext * /*ctx*/)  { }
+        m_pp->getCompilationUnit()->recordTimeInfo(compUnitTimeInfo);
 
-        //void enterDescription(SV3_1aPpParser::DescriptionContext * /*ctx*/)  { }
-        //void exitDescription(SV3_1aPpParser::DescriptionContext * /*ctx*/)  { }
+    }
+    //void exitTimescale_directive(SV3_1aPpParser::Timescale_directiveContext * /*ctx*/)  { }
 
-        void enterComments(SV3_1aPpParser::CommentsContext * ctx);
-        //void exitComments(SV3_1aPpParser::CommentsContext * /*ctx*/)  { }
-
-        void enterNumber(SV3_1aPpParser::NumberContext* ctx);
-        
-        //void enterMacro_definition(SV3_1aPpParser::Macro_definitionContext * /*ctx*/) {}
-        //void exitMacro_definition(SV3_1aPpParser::Macro_definitionContext * /*ctx*/)  { }
-
-        void enterLine_directive(SV3_1aPpParser::Line_directiveContext *ctx);        
-        void exitLine_directive(SV3_1aPpParser::Line_directiveContext * /*ctx*/)  { m_pp->resumeAppend(); }
-
-        void enterDefault_nettype_directive(SV3_1aPpParser::Default_nettype_directiveContext * ctx) {
-            forwardToParser(ctx);
+    void enterUndef_directive(SV3_1aPpParser::Undef_directiveContext *ctx)
+    {
+        std::string macroName;
+        std::pair<int, int> lineCol = ParseUtils::getLineColumn(m_pp->getTokenStream(), ctx);
+        if (ctx->Simple_identifier())
+            macroName = ctx->Simple_identifier()->getText();
+        else if (ctx->Escaped_identifier()) {
+            macroName = ctx->Escaped_identifier()->getText();
+            macroName.erase(0, 1);
+            StringUtils::rtrim(macroName);
+        } else if (ctx->macro_instance()) {
+            macroName = m_pp->evaluateMacroInstance(ctx->macro_instance()->getText(), m_pp, lineCol.first,
+                    PreprocessFile::SpecialInstructions::CheckLoop,
+                    PreprocessFile::SpecialInstructions::ComplainUndefinedMacro);
         }
-        //void exitDefault_nettype_directive(SV3_1aPpParser::Default_nettype_directiveContext * /*ctx*/)  { }
-
-        void enterSv_file_directive(SV3_1aPpParser::Sv_file_directiveContext *ctx);
-        void exitSv_file_directive(SV3_1aPpParser::Sv_file_directiveContext * /*ctx*/)  { m_pp->resumeAppend();}
-
-        void enterSv_line_directive(SV3_1aPpParser::Sv_line_directiveContext *ctx);
-        void exitSv_line_directive(SV3_1aPpParser::Sv_line_directiveContext * /*ctx*/)  { m_pp->resumeAppend();}
-
-        void enterTimescale_directive(SV3_1aPpParser::Timescale_directiveContext * ctx) {
-            if (m_pp->getCompilationUnit()->isInDesignElement()) {
-                std::string directive = "`timescale";
-                getSymbolTable()->registerSymbol(directive);
-                logError(ErrorDefinition::PP_ILLEGAL_DIRECTIVE_IN_DESIGN_ELEMENT, ctx, directive);
+        if (m_pp->m_debugMacro) std::cout << "Undefining macro: " << macroName << std::endl;
+        std::set<PreprocessFile*> visited;
+        if (m_inActiveBranch && (!m_inMacroDefinitionParsing)) {
+            bool found = m_pp->deleteMacro(macroName, visited);
+            if (!found) {
+                logError(ErrorDefinition::PP_UNDEF_UNKOWN_MACRO, ctx, macroName);
             }
+        }
+    }
+    //void exitUndef_directive(SV3_1aPpParser::Undef_directiveContext * /*ctx*/)  { }
+
+    void enterIfdef_directive(SV3_1aPpParser::Ifdef_directiveContext * ctx)
+    {
+        PreprocessFile::IfElseItem item;
+        std::string macroName;
+        std::pair<int, int> lineCol = ParseUtils::getLineColumn(m_pp->getTokenStream(), ctx);
+        if (ctx->Simple_identifier())
+            macroName = ctx->Simple_identifier()->getText();
+        else if (ctx->Escaped_identifier()) {
+            macroName = ctx->Escaped_identifier()->getText();
+            macroName.erase(0, 1);
+            StringUtils::rtrim(macroName);
+        } else if (ctx->macro_instance()) {
+            macroName = m_pp->evaluateMacroInstance(ctx->macro_instance()->getText(), m_pp, lineCol.first,
+                    PreprocessFile::SpecialInstructions::CheckLoop,
+                    PreprocessFile::SpecialInstructions::ComplainUndefinedMacro);
+        }
+        item.m_macroName = macroName;
+        std::vector<std::string> args;
+        if (!m_pp->isMacroBody()) {
+            m_pp->getSourceFile()->m_loopChecker.clear();
+        }
+        PreprocessFile::SpecialInstructions instr = m_pp->m_instructions;
+        instr.m_evaluate = PreprocessFile::SpecialInstructions::DontEvaluate;
+        std::string macroBody = m_pp->getMacro(item.m_macroName, args, m_pp, 0, m_pp->getSourceFile()->m_loopChecker, instr);
+        item.m_defined = (macroBody != PreprocessFile::MacroNotDefined);
+        item.m_type = PreprocessFile::IfElseItem::IFDEF;
+        item.m_previousActiveState = m_inActiveBranch;
+        m_pp->getStack().push_back(item);
+        setCurrentBranchActivity();
+    }
+    //void exitIfdef_directive(SV3_1aPpParser::Ifdef_directiveContext * /*ctx*/)  { }
+
+    void enterIfndef_directive(SV3_1aPpParser::Ifndef_directiveContext * ctx)
+    {
+        PreprocessFile::IfElseItem item;
+        std::string macroName;
+        std::pair<int, int> lineCol = ParseUtils::getLineColumn(m_pp->getTokenStream(), ctx);
+        if (ctx->Simple_identifier())
+            macroName = ctx->Simple_identifier()->getText();
+        else if (ctx->Escaped_identifier()) {
+            macroName = ctx->Escaped_identifier()->getText();
+            macroName.erase(0, 1);
+            StringUtils::rtrim(macroName);
+        } else if (ctx->macro_instance()) {
+            macroName = m_pp->evaluateMacroInstance(ctx->macro_instance()->getText(), m_pp, lineCol.first,
+                    PreprocessFile::SpecialInstructions::CheckLoop,
+                    PreprocessFile::SpecialInstructions::ComplainUndefinedMacro);
+        }
+        item.m_macroName = macroName;
+        std::vector<std::string> args;
+        if (!m_pp->isMacroBody()) {
+            m_pp->getSourceFile()->m_loopChecker.clear();
+        }
+        PreprocessFile::SpecialInstructions instr = m_pp->m_instructions;
+        instr.m_evaluate = PreprocessFile::SpecialInstructions::DontEvaluate;
+        std::string macroBody = m_pp->getMacro(item.m_macroName, args, m_pp, 0, m_pp->getSourceFile()->m_loopChecker, instr);
+        item.m_defined = (macroBody != PreprocessFile::MacroNotDefined);
+        item.m_type = PreprocessFile::IfElseItem::IFNDEF;
+        item.m_previousActiveState = m_inActiveBranch;
+        m_pp->getStack().push_back(item);
+        setCurrentBranchActivity();
+    }
+    //void exitIfndef_directive(SV3_1aPpParser::Ifndef_directiveContext * /*ctx*/)  { }
+
+    void enterElsif_directive(SV3_1aPpParser::Elsif_directiveContext * ctx)
+    {
+        PreprocessFile::IfElseItem item;
+        std::string macroName;
+        std::pair<int, int> lineCol = ParseUtils::getLineColumn(m_pp->getTokenStream(), ctx);
+        if (ctx->Simple_identifier())
+            macroName = ctx->Simple_identifier()->getText();
+        else if (ctx->Escaped_identifier()) {
+            macroName = ctx->Escaped_identifier()->getText();
+            macroName.erase(0, 1);
+            StringUtils::rtrim(macroName);
+        } else if (ctx->macro_instance()) {
+            macroName = m_pp->evaluateMacroInstance(ctx->macro_instance()->getText(), m_pp, lineCol.first,
+                    PreprocessFile::SpecialInstructions::CheckLoop,
+                    PreprocessFile::SpecialInstructions::ComplainUndefinedMacro);
+        }
+        item.m_macroName = macroName;
+        bool previousBranchActive = isPreviousBranchActive();
+        std::vector<std::string> args;
+        if (!m_pp->isMacroBody()) {
+            m_pp->getSourceFile()->m_loopChecker.clear();
+        }
+        PreprocessFile::SpecialInstructions instr = m_pp->m_instructions;
+        instr.m_evaluate = PreprocessFile::SpecialInstructions::DontEvaluate;
+        std::string macroBody = m_pp->getMacro(item.m_macroName, args, m_pp, 0, m_pp->getSourceFile()->m_loopChecker, instr);
+        item.m_defined = (macroBody != PreprocessFile::MacroNotDefined) && (!previousBranchActive);
+        item.m_type = PreprocessFile::IfElseItem::ELSIF;
+        m_pp->getStack().push_back(item);
+        setCurrentBranchActivity();
+    }
+    //void exitElsif_directive(SV3_1aPpParser::Elsif_directiveContext * /*ctx*/)  { }
+
+    void enterElse_directive(SV3_1aPpParser::Else_directiveContext * ctx)
+    {
+        PreprocessFile::IfElseItem item;
+        bool previousBranchActive = isPreviousBranchActive();
+        item.m_defined = !previousBranchActive;
+        item.m_type = PreprocessFile::IfElseItem::ELSE;
+        m_pp->getStack().push_back(item);
+        setCurrentBranchActivity();
+    }
+    //void exitElse_directive(SV3_1aPpParser::Else_directiveContext * /*ctx*/)  { }
+
+    void enterEndif_directive(SV3_1aPpParser::Endif_directiveContext * ctx)
+    {
+        PreprocessFile::IfElseStack& stack = m_pp->getStack();
+        if (stack.size()) {
+            bool unroll = true;
+            while (unroll) {
+                PreprocessFile::IfElseItem& item = stack.back();
+                switch (item.m_type) {
+                case PreprocessFile::IfElseItem::IFDEF:
+                case PreprocessFile::IfElseItem::IFNDEF:
+                    //std::cout << "STACK SIZE: " << m_pp->getStack ().size () << std::endl;
+                    m_inActiveBranch = item.m_previousActiveState;
+                    stack.pop_back();
+                    unroll = false;
+                    break;
+                case PreprocessFile::IfElseItem::ELSIF:
+                case PreprocessFile::IfElseItem::ELSE:
+                    stack.pop_back();
+                    break;
+                default:
+                    break;
+                }
+            }
+        }
+        setCurrentBranchActivity();
+    }
+    //void exitEndif_directive(SV3_1aPpParser::Endif_directiveContext * /*ctx*/)  { }
+
+    void enterResetall_directive(SV3_1aPpParser::Resetall_directiveContext *ctx)
+    {
+        if (m_pp->getCompilationUnit()->isInDesignElement()) {
+            std::string directive = "`resetall";
+            getSymbolTable()->registerSymbol(directive);
+            logError(ErrorDefinition::PP_ILLEGAL_DIRECTIVE_IN_DESIGN_ELEMENT, ctx, directive);
+        }
+        forwardToParser(ctx);
+    }
+    //void exitResetall_directive(SV3_1aPpParser::Resetall_directiveContext * /*ctx*/)  { }
+
+    void enterBegin_keywords_directive(SV3_1aPpParser::Begin_keywords_directiveContext * ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitBegin_keywords_directive(SV3_1aPpParser::Begin_keywords_directiveContext * /*ctx*/);
+
+    void enterEnd_keywords_directive(SV3_1aPpParser::End_keywords_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitEnd_keywords_directive(SV3_1aPpParser::End_keywords_directiveContext * /*ctx*/);
+
+    void enterPragma_directive(SV3_1aPpParser::Pragma_directiveContext * ctx);
+    void exitPragma_directive(SV3_1aPpParser::Pragma_directiveContext * /*ctx*/);
+
+    void enterCelldefine_directive(SV3_1aPpParser::Celldefine_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitCelldefine_directive(SV3_1aPpParser::Celldefine_directiveContext * /*ctx*/)  { }
+
+    void enterEndcelldefine_directive(SV3_1aPpParser::Endcelldefine_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitEndcelldefine_directive(SV3_1aPpParser::Endcelldefine_directiveContext * /*ctx*/)  { }
+
+    void enterProtect_directive(SV3_1aPpParser::Protect_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitProtect_directive(SV3_1aPpParser::Protect_directiveContext * /*ctx*/)  { }
+
+    void enterEndprotect_directive(SV3_1aPpParser::Endprotect_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitEndprotect_directive(SV3_1aPpParser::Endprotect_directiveContext * /*ctx*/)  { }
+
+    void enterProtected_directive(SV3_1aPpParser::Protected_directiveContext *ctx)
+    {
+        m_inProtectedRegion = true;
+        if (m_pp->getCompileSourceFile()->getCommandLineParser()->filterProtectedRegions()) {
+            m_filterProtectedRegions = true;
+        } else {
             forwardToParser(ctx);
+        }
+    }
+    //void exitProtected_directive(SV3_1aPpParser::Protected_directiveContext * /*ctx*/)  { }
+
+    void enterEndprotected_directive(SV3_1aPpParser::Endprotected_directiveContext *ctx)
+    {
+        m_inProtectedRegion = false;
+        if (!m_pp->getCompileSourceFile()->getCommandLineParser()->filterProtectedRegions())
+            forwardToParser(ctx);
+    }
+    //void exitEndprotected_directive(SV3_1aPpParser::Endprotected_directiveContext * /*ctx*/)  { }
+
+    void enterExpand_vectornets_directive(SV3_1aPpParser::Expand_vectornets_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitExpand_vectornets_directive(SV3_1aPpParser::Expand_vectornets_directiveContext * /*ctx*/)  { }
+
+    void enterNoexpand_vectornets_directive(SV3_1aPpParser::Noexpand_vectornets_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitNoexpand_vectornets_directive(SV3_1aPpParser::Noexpand_vectornets_directiveContext * /*ctx*/)  { }
+
+    void enterAutoexpand_vectornets_directive(SV3_1aPpParser::Autoexpand_vectornets_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitAutoexpand_vectornets_directive(SV3_1aPpParser::Autoexpand_vectornets_directiveContext * /*ctx*/)  { }
+
+    void enterUselib_directive(SV3_1aPpParser::Uselib_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitUselib_directive(SV3_1aPpParser::Uselib_directiveContext * /*ctx*/)  { }
+
+    void enterDisable_portfaults_directive(SV3_1aPpParser::Disable_portfaults_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitDisable_portfaults_directive(SV3_1aPpParser::Disable_portfaults_directiveContext * /*ctx*/)  { }
+
+    void enterEnable_portfaults_directive(SV3_1aPpParser::Enable_portfaults_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitEnable_portfaults_directive(SV3_1aPpParser::Enable_portfaults_directiveContext * /*ctx*/)  { }
+
+    void enterNosuppress_faults_directive(SV3_1aPpParser::Nosuppress_faults_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitNosuppress_faults_directive(SV3_1aPpParser::Nosuppress_faults_directiveContext * /*ctx*/)  { }
+
+    void enterSuppress_faults_directive(SV3_1aPpParser::Suppress_faults_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitSuppress_faults_directive(SV3_1aPpParser::Suppress_faults_directiveContext * /*ctx*/)  { }
+
+    void enterSigned_directive(SV3_1aPpParser::Signed_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitSigned_directive(SV3_1aPpParser::Signed_directiveContext * /*ctx*/)  { }
+
+    void enterUnsigned_directive(SV3_1aPpParser::Unsigned_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitUnsigned_directive(SV3_1aPpParser::Unsigned_directiveContext * /*ctx*/)  { }
+
+    void enterRemove_gatename_directive(SV3_1aPpParser::Remove_gatename_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitRemove_gatename_directive(SV3_1aPpParser::Remove_gatename_directiveContext * /*ctx*/)  { }
+
+    void enterNoremove_gatenames_directive(SV3_1aPpParser::Noremove_gatenames_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitNoremove_gatenames_directive(SV3_1aPpParser::Noremove_gatenames_directiveContext * /*ctx*/)  { }
+
+    void enterRemove_netname_directive(SV3_1aPpParser::Remove_netname_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitRemove_netname_directive(SV3_1aPpParser::Remove_netname_directiveContext * /*ctx*/)  { }
+
+    void enterNoremove_netnames_directive(SV3_1aPpParser::Noremove_netnames_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitNoremove_netnames_directive(SV3_1aPpParser::Noremove_netnames_directiveContext * /*ctx*/)  { }
+
+    void enterAccelerate_directive(SV3_1aPpParser::Accelerate_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitAccelerate_directive(SV3_1aPpParser::Accelerate_directiveContext * /*ctx*/)  { }
+
+    void enterNoaccelerate_directive(SV3_1aPpParser::Noaccelerate_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitNoaccelerate_directive(SV3_1aPpParser::Noaccelerate_directiveContext * /*ctx*/)  { }
+
+    void enterDefault_trireg_strenght_directive(SV3_1aPpParser::Default_trireg_strenght_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitDefault_trireg_strenght_directive(SV3_1aPpParser::Default_trireg_strenght_directiveContext * /*ctx*/)  { }
+
+    void enterDefault_decay_time_directive(SV3_1aPpParser::Default_decay_time_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+        m_pp->pauseAppend();
+    }
+
+    void exitDefault_decay_time_directive(SV3_1aPpParser::Default_decay_time_directiveContext * /*ctx*/)
+    {
+        m_pp->resumeAppend();
+    }
+
+    void enterInclude_directive(SV3_1aPpParser::Include_directiveContext * ctx);
+    void exitInclude_directive(SV3_1aPpParser::Include_directiveContext * ctx);
+
+    void enterUnconnected_drive_directive(SV3_1aPpParser::Unconnected_drive_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitUnconnected_drive_directive(SV3_1aPpParser::Unconnected_drive_directiveContext * /*ctx*/)  { }
+
+    void enterNounconnected_drive_directive(SV3_1aPpParser::Nounconnected_drive_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitNounconnected_drive_directive(SV3_1aPpParser::Nounconnected_drive_directiveContext * /*ctx*/)  { }
+
+    void enterDelay_mode_distributed_directive(SV3_1aPpParser::Delay_mode_distributed_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitDelay_mode_distributed_directive(SV3_1aPpParser::Delay_mode_distributed_directiveContext * /*ctx*/)  { }
+
+    void enterDelay_mode_path_directive(SV3_1aPpParser::Delay_mode_path_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+
+    void enterDelay_mode_unit_directive(SV3_1aPpParser::Delay_mode_unit_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitDelay_mode_unit_directive(SV3_1aPpParser::Delay_mode_unit_directiveContext * /*ctx*/)  { }
+
+    void enterDelay_mode_zero_directive(SV3_1aPpParser::Delay_mode_zero_directiveContext *ctx)
+    {
+        forwardToParser(ctx);
+    }
+    //void exitDelay_mode_zero_directive(SV3_1aPpParser::Delay_mode_zero_directiveContext * /*ctx*/)  { }
+
+    void enterUndefineall_directive(SV3_1aPpParser::Undefineall_directiveContext * /*ctx*/)
+    {
+        std::set<PreprocessFile*> visited;
+        if (m_pp->m_debugMacro) std::cout << "Undefining all macros" << std::endl;
+        m_pp->undefineAllMacros(visited);
+    }
+    //void exitUndefineall_directive(SV3_1aPpParser::Undefineall_directiveContext * /*ctx*/)  { }
+
+    void enterDefine_directive(SV3_1aPpParser::Define_directiveContext * ctx);
+    void exitDefine_directive(SV3_1aPpParser::Define_directiveContext *ctx);
+
+    void enterMultiline_no_args_macro_definition(SV3_1aPpParser::Multiline_no_args_macro_definitionContext * ctx)
+    {
+        if (m_inActiveBranch) {
+            std::string macroName;
+            if (ctx->Simple_identifier())
+                macroName = ctx->Simple_identifier()->getText();
+            else if (ctx->Escaped_identifier()) {
+                macroName = ctx->Escaped_identifier()->getText();
+                macroName.erase(0, 1);
+                StringUtils::rtrim(macroName);
+            }
+            std::pair<int, int> lineCol = ParseUtils::getLineColumn(ctx->Simple_identifier() ? ctx->Simple_identifier() : ctx->Escaped_identifier());
+
+            if (m_pp->m_debugMacro) std::cout << "Defining macro:" << macroName << std::endl;
+            m_inMacroDefinitionParsing = true;
+            SV3_1aPpParser::Escaped_macro_definition_bodyContext* cBody = ctx->escaped_macro_definition_body();
+            // Immediate evaluate since there are no args
+            //std::string evalBody = m_pp->evaluateMacroInstance(
+            //        cBody->getText(), m_pp, lineCol.first,
+            //        PreprocessFile::SpecialInstructions::CheckLoop,
+            //        PreprocessFile::SpecialInstructions::AsIsUndefinedMacro);
+            //std::vector<std::string> body_tokens;
+            //body_tokens.push_back(evalBody);
             
-            TimeInfo compUnitTimeInfo;
-            compUnitTimeInfo.m_type = TimeInfo::Timescale; 
-            compUnitTimeInfo.m_fileId = m_pp->getFileId(0);
-            std::pair<int, int> lineCol = ParseUtils::getLineColumn(ctx->TIMESCALE());
-            compUnitTimeInfo.m_line = lineCol.first;
-            std::regex base_regex("([0-9]+)([mnsupf]+)[ ]*/[ ]*([0-9]+)([mnsupf]+)");
-            std::smatch base_match;
-            std::string value = ctx->TIMESCALE()->getText().c_str();
-            if (std::regex_match(value, base_match, base_regex)) 
-              {
-                std::ssub_match base1_sub_match = base_match[1];
-                std::string base1 = base1_sub_match.str();
-                compUnitTimeInfo.m_timeUnitValue = atoi(base1.c_str());
-                compUnitTimeInfo.m_timeUnit = TimeInfo::unitFromString(base_match[2].str());
-                std::ssub_match base2_sub_match = base_match[3];
-                std::string base2 = base2_sub_match.str();
-                compUnitTimeInfo.m_timePrecisionValue = atoi(base2.c_str());
-                compUnitTimeInfo.m_timePrecision = TimeInfo::unitFromString(base_match[4].str());
-             }
-             m_pp->getCompilationUnit()->recordTimeInfo(compUnitTimeInfo);
-                      
-        }
-        //void exitTimescale_directive(SV3_1aPpParser::Timescale_directiveContext * /*ctx*/)  { }
+            std::vector<Token*> tokens = ParseUtils::getFlatTokenList(cBody);
+            std::vector<std::string> body_tokens;
+            for (auto token : tokens) {
+                body_tokens.push_back(token->getText());
+            }
+            
+            checkMultiplyDefinedMacro(macroName, ctx);
 
-        void enterUndef_directive(SV3_1aPpParser::Undef_directiveContext *ctx) {
+            m_pp->recordMacro(macroName, m_pp->getLineNb(lineCol.first), lineCol.second, "", body_tokens);
+        }
+    }
+
+    void exitMultiline_no_args_macro_definition(SV3_1aPpParser::Multiline_no_args_macro_definitionContext *ctx)
+    {
+        m_inMacroDefinitionParsing = false;
+    }
+
+    void enterMultiline_args_macro_definition(SV3_1aPpParser::Multiline_args_macro_definitionContext *ctx)
+    {
+        if (m_inActiveBranch) {
             std::string macroName;
-            std::pair<int, int> lineCol = ParseUtils::getLineColumn (m_pp->getTokenStream (), ctx);
             if (ctx->Simple_identifier())
                 macroName = ctx->Simple_identifier()->getText();
             else if (ctx->Escaped_identifier()) {
                 macroName = ctx->Escaped_identifier()->getText();
                 macroName.erase(0, 1);
                 StringUtils::rtrim(macroName);
-            } else if (ctx->macro_instance()) {
-                macroName = m_pp->evaluateMacroInstance(ctx->macro_instance()->getText(), m_pp, lineCol.first,
-                        PreprocessFile::SpecialInstructions::CheckLoop,
-                        PreprocessFile::SpecialInstructions::ComplainUndefinedMacro);
             }
-            std::set<PreprocessFile*> visited;
-            if (m_inActiveBranch && (!m_inMacroDefinitionParsing)) {
-              bool found = m_pp->deleteMacro(macroName, visited);
-              if (!found) {
-                 logError(ErrorDefinition::PP_UNDEF_UNKOWN_MACRO, ctx, macroName);
-              }
-	    }
-        }
-        //void exitUndef_directive(SV3_1aPpParser::Undef_directiveContext * /*ctx*/)  { }
+            if (m_pp->m_debugMacro) std::cout << "Defining macro:" << macroName << std::endl;
+            m_inMacroDefinitionParsing = true;
+            SV3_1aPpParser::Escaped_macro_definition_bodyContext* cBody = ctx->escaped_macro_definition_body();
+            std::string arguments = ctx->macro_arguments()->getText();
+            std::vector<Token*> tokens = ParseUtils::getFlatTokenList(cBody);
+            std::vector<std::string> body_tokens;
+            for (auto token : tokens) {
+                body_tokens.push_back(token->getText());
+            }
+            std::pair<int, int> lineCol = ParseUtils::getLineColumn(ctx->Simple_identifier() ? ctx->Simple_identifier() : ctx->Escaped_identifier());
 
-        void enterIfdef_directive(SV3_1aPpParser::Ifdef_directiveContext * ctx) {
-            PreprocessFile::IfElseItem item;
+            checkMultiplyDefinedMacro(macroName, ctx);
+            m_pp->recordMacro(macroName, m_pp->getLineNb(lineCol.first), lineCol.second, arguments, body_tokens);
+        }
+    }
+
+    void exitMultiline_args_macro_definition(SV3_1aPpParser::Multiline_args_macro_definitionContext *ctx)
+    {
+        m_inMacroDefinitionParsing = false;
+    }
+
+    void enterSimple_no_args_macro_definition(SV3_1aPpParser::Simple_no_args_macro_definitionContext * ctx);
+    void exitSimple_no_args_macro_definition(SV3_1aPpParser::Simple_no_args_macro_definitionContext *ctx);
+
+    void enterSimple_args_macro_definition(SV3_1aPpParser::Simple_args_macro_definitionContext *ctx)
+    {
+        if (m_inActiveBranch) {
             std::string macroName;
-            std::pair<int, int> lineCol = ParseUtils::getLineColumn (m_pp->getTokenStream (), ctx);
             if (ctx->Simple_identifier())
                 macroName = ctx->Simple_identifier()->getText();
             else if (ctx->Escaped_identifier()) {
                 macroName = ctx->Escaped_identifier()->getText();
                 macroName.erase(0, 1);
                 StringUtils::rtrim(macroName);
-            } else if (ctx->macro_instance()) {
-                macroName = m_pp->evaluateMacroInstance(ctx->macro_instance()->getText(), m_pp, lineCol.first,
-                        PreprocessFile::SpecialInstructions::CheckLoop,
-                        PreprocessFile::SpecialInstructions::ComplainUndefinedMacro);
             }
-            item.m_macroName = macroName;
-            std::vector<std::string> args;
-            if (!m_pp->isMacroBody()) {
-                m_pp->getSourceFile()->m_loopChecker.clear();
+            if (m_pp->m_debugMacro) std::cout << "Defining macro:" << macroName << std::endl;
+            m_inMacroDefinitionParsing = true;
+            //std::string wholeMacro = ctx->getText();
+            SV3_1aPpParser::Simple_macro_definition_bodyContext* cBody = ctx->simple_macro_definition_body();
+            std::string arguments = ctx->macro_arguments()->getText();
+            std::vector<Token*> tokens = ParseUtils::getFlatTokenList(cBody);
+            std::vector<std::string> body_tokens;
+            for (auto token : tokens) {
+                body_tokens.push_back(token->getText());
             }
-            std::string macroBody = m_pp->getMacro(item.m_macroName, args, m_pp, 0, m_pp->getSourceFile()->m_loopChecker, m_pp->m_instructions);
-            item.m_defined = (macroBody != PreprocessFile::MacroNotDefined);
-            item.m_type = PreprocessFile::IfElseItem::IFDEF;
-            item.m_previousActiveState = m_inActiveBranch; 
-            m_pp->getStack().push_back(item);
-            setCurrentBranchActivity();
+            std::pair<int, int> lineCol = ParseUtils::getLineColumn(ctx->Simple_identifier() ? ctx->Simple_identifier() : ctx->Escaped_identifier());
+            checkMultiplyDefinedMacro(macroName, ctx);
+            m_pp->recordMacro(macroName, m_pp->getLineNb(lineCol.first), lineCol.second, arguments, body_tokens);
         }
-        //void exitIfdef_directive(SV3_1aPpParser::Ifdef_directiveContext * /*ctx*/)  { }
+    }
 
-        void enterIfndef_directive(SV3_1aPpParser::Ifndef_directiveContext * ctx) {
-            PreprocessFile::IfElseItem item;
-            std::string macroName;
-            std::pair<int, int> lineCol = ParseUtils::getLineColumn (m_pp->getTokenStream (), ctx);
-            if (ctx->Simple_identifier())
-                macroName = ctx->Simple_identifier()->getText();
-            else if (ctx->Escaped_identifier()) {
-                macroName = ctx->Escaped_identifier()->getText();
-                macroName.erase(0, 1);
-                StringUtils::rtrim(macroName);
-            } else if (ctx->macro_instance()) {
-                macroName = m_pp->evaluateMacroInstance(ctx->macro_instance()->getText(), m_pp, lineCol.first,
-                        PreprocessFile::SpecialInstructions::CheckLoop,
-                        PreprocessFile::SpecialInstructions::ComplainUndefinedMacro);
-            }
-            item.m_macroName = macroName;
-            std::vector<std::string> args;
-            if (!m_pp->isMacroBody()) {
-                m_pp->getSourceFile()->m_loopChecker.clear();
-            }
-            std::string macroBody = m_pp->getMacro(item.m_macroName, args, m_pp, 0, m_pp->getSourceFile()->m_loopChecker, m_pp->m_instructions);
-            item.m_defined = (macroBody != PreprocessFile::MacroNotDefined);
-            item.m_type = PreprocessFile::IfElseItem::IFNDEF;
-            item.m_previousActiveState = m_inActiveBranch; 
-            m_pp->getStack().push_back(item);
-            setCurrentBranchActivity();
+    void exitSimple_args_macro_definition(SV3_1aPpParser::Simple_args_macro_definitionContext *ctx)
+    {
+        m_inMacroDefinitionParsing = false;
+    }
+
+    //void enterEscaped_macro_definition_body(SV3_1aPpParser::Escaped_macro_definition_bodyContext * /*ctx*/) { }
+    //void exitEscaped_macro_definition_body(SV3_1aPpParser::Escaped_macro_definition_bodyContext * /*ctx*/)  { }
+
+    //void enterSimple_macro_definition_body(SV3_1aPpParser::Simple_macro_definition_bodyContext * /*ctx*/) { }
+    //void exitSimple_macro_definition_body(SV3_1aPpParser::Simple_macro_definition_bodyContext * /*ctx*/)  { }
+
+    void enterMacroInstanceWithArgs(SV3_1aPpParser::MacroInstanceWithArgsContext * ctx);
+    void exitMacroInstanceWithArgs(SV3_1aPpParser::MacroInstanceWithArgsContext * ctx);
+
+    void enterMacroInstanceNoArgs(SV3_1aPpParser::MacroInstanceNoArgsContext * ctx);
+    //void exitMacroInstanceNoArgs(SV3_1aPpParser::MacroInstanceNoArgsContext * /*ctx*/) { }
+
+    void enterUnterminated_string(SV3_1aPpParser::Unterminated_stringContext *ctx);
+    //void exitUnterminated_string(SV3_1aPpParser::Unterminated_stringContext * /*ctx*/) { }
+
+    void enterText_blob(SV3_1aPpParser::Text_blobContext * ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion))) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
         }
-        //void exitIfndef_directive(SV3_1aPpParser::Ifndef_directiveContext * /*ctx*/)  { }
+    }
+    //void exitText_blob(SV3_1aPpParser::Text_blobContext * /*ctx*/)  { }
 
-        void enterElsif_directive(SV3_1aPpParser::Elsif_directiveContext * ctx) {
-            PreprocessFile::IfElseItem item;
-            std::string macroName;
-            std::pair<int, int> lineCol = ParseUtils::getLineColumn (m_pp->getTokenStream (), ctx);
-            if (ctx->Simple_identifier())
-                macroName = ctx->Simple_identifier()->getText();
-            else if (ctx->Escaped_identifier()) {
-                macroName = ctx->Escaped_identifier()->getText();
-                macroName.erase(0, 1);
-                StringUtils::rtrim(macroName);
-            } else if (ctx->macro_instance()) {
-                macroName = m_pp->evaluateMacroInstance(ctx->macro_instance()->getText(), m_pp, lineCol.first,
-                        PreprocessFile::SpecialInstructions::CheckLoop,
-                        PreprocessFile::SpecialInstructions::ComplainUndefinedMacro);
-            }
-            item.m_macroName = macroName;
-            bool previousBranchActive = isPreviousBranchActive();
-            std::vector<std::string> args;
-            if (!m_pp->isMacroBody()) {
-                m_pp->getSourceFile()->m_loopChecker.clear();
-            }
-            std::string macroBody = m_pp->getMacro(item.m_macroName, args, m_pp, 0, m_pp->getSourceFile()->m_loopChecker, m_pp->m_instructions);
-            item.m_defined = (macroBody != PreprocessFile::MacroNotDefined) && (!previousBranchActive);
-            item.m_type = PreprocessFile::IfElseItem::ELSIF;
-            m_pp->getStack().push_back(item);
-            setCurrentBranchActivity();
+    void enterEscaped_identifier(SV3_1aPpParser::Escaped_identifierContext * ctx);
+    // void exitEscaped_identifier(SV3_1aPpParser::Escaped_identifierContext * /*ctx*/) { }
+
+
+    void enterString(SV3_1aPpParser::StringContext *ctx);
+    //void exitString(SV3_1aPpParser::StringContext * /*ctx*/) { }
+
+    void enterModule(SV3_1aPpParser::ModuleContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->setInDesignElement();
         }
-        //void exitElsif_directive(SV3_1aPpParser::Elsif_directiveContext * /*ctx*/)  { }
+    }
+    //void exitModule(SV3_1aPpParser::ModuleContext * /*ctx*/)
 
-        void enterElse_directive(SV3_1aPpParser::Else_directiveContext * ctx) {
-            PreprocessFile::IfElseItem item;
-            bool previousBranchActive = isPreviousBranchActive();
-            item.m_defined = !previousBranchActive;
-            item.m_type = PreprocessFile::IfElseItem::ELSE;
-            m_pp->getStack().push_back(item);
-            setCurrentBranchActivity();
+    void enterEndmodule(SV3_1aPpParser::EndmoduleContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->unsetInDesignElement();
         }
-        //void exitElse_directive(SV3_1aPpParser::Else_directiveContext * /*ctx*/)  { }
+    }
+    //void exitEndmodule(SV3_1aPpParser::EndmoduleContext * /*ctx*/);
 
-        void enterEndif_directive(SV3_1aPpParser::Endif_directiveContext * ctx) {
-            PreprocessFile::IfElseStack& stack = m_pp->getStack();
-            if (stack.size()) {
-                bool unroll = true;
-                while (unroll) {
-                    PreprocessFile::IfElseItem& item = stack.back();
-                    switch (item.m_type) {
-                        case PreprocessFile::IfElseItem::IFDEF:
-                        case PreprocessFile::IfElseItem::IFNDEF:
-                            //std::cout << "STACK SIZE: " << m_pp->getStack ().size () << std::endl;
-                            m_inActiveBranch = item.m_previousActiveState; 
-                            stack.pop_back();
-                            unroll = false;
-                            break;
-                        case PreprocessFile::IfElseItem::ELSIF:
-                        case PreprocessFile::IfElseItem::ELSE:
-                            stack.pop_back();
-                            break;
-                        default:
-                            break;
-                    }
-                }
-            }
-            setCurrentBranchActivity();
+    void enterSv_interface(SV3_1aPpParser::Sv_interfaceContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->setInDesignElement();
         }
-        //void exitEndif_directive(SV3_1aPpParser::Endif_directiveContext * /*ctx*/)  { }
-      
-        void enterResetall_directive(SV3_1aPpParser::Resetall_directiveContext *ctx) {
-            if (m_pp->getCompilationUnit()->isInDesignElement())
-            {
-                std::string directive = "`resetall";
-                getSymbolTable ()->registerSymbol(directive);
-                logError(ErrorDefinition::PP_ILLEGAL_DIRECTIVE_IN_DESIGN_ELEMENT, ctx, directive);   
-            }
-            forwardToParser(ctx);
+    }
+    //void exitSv_interface(SV3_1aPpParser::Sv_interfaceContext * /*ctx*/);
+
+    void enterEndinterface(SV3_1aPpParser::EndinterfaceContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->unsetInDesignElement();
         }
-        //void exitResetall_directive(SV3_1aPpParser::Resetall_directiveContext * /*ctx*/)  { }
+    }
+    //void exitEndinterface(SV3_1aPpParser::EndinterfaceContext * /*ctx*/);
 
-        void enterBegin_keywords_directive(SV3_1aPpParser::Begin_keywords_directiveContext * ctx) {
-            forwardToParser(ctx);
+    void enterProgram(SV3_1aPpParser::ProgramContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->setInDesignElement();
         }
-        //void exitBegin_keywords_directive(SV3_1aPpParser::Begin_keywords_directiveContext * /*ctx*/);
+    }
+    //void exitProgram(SV3_1aPpParser::ProgramContext * /*ctx*/);
 
-        void enterEnd_keywords_directive(SV3_1aPpParser::End_keywords_directiveContext *ctx) {
-            forwardToParser(ctx);
+    void enterEndprogram(SV3_1aPpParser::EndprogramContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->unsetInDesignElement();
         }
-        //void exitEnd_keywords_directive(SV3_1aPpParser::End_keywords_directiveContext * /*ctx*/);
+    }
+    //void exitEndprogram(SV3_1aPpParser::EndprogramContext * /*ctx*/);
 
-        void enterPragma_directive(SV3_1aPpParser::Pragma_directiveContext * ctx);
-        void exitPragma_directive(SV3_1aPpParser::Pragma_directiveContext * /*ctx*/);
-               
-        void enterCelldefine_directive(SV3_1aPpParser::Celldefine_directiveContext *ctx) {
-            forwardToParser(ctx);
+    void enterPrimitive(SV3_1aPpParser::PrimitiveContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->setInDesignElement();
         }
-        //void exitCelldefine_directive(SV3_1aPpParser::Celldefine_directiveContext * /*ctx*/)  { }
+    }
+    //void exitPrimitive(SV3_1aPpParser::PrimitiveContext * /*ctx*/);
 
-        void enterEndcelldefine_directive(SV3_1aPpParser::Endcelldefine_directiveContext *ctx) {
-            forwardToParser(ctx);
+    void enterEndprimitive(SV3_1aPpParser::EndprimitiveContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion))&& (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->unsetInDesignElement();
         }
-        //void exitEndcelldefine_directive(SV3_1aPpParser::Endcelldefine_directiveContext * /*ctx*/)  { }
+    }
+    //void exitEndprimitive(SV3_1aPpParser::EndprimitiveContext * /*ctx*/);
 
-        void enterProtect_directive(SV3_1aPpParser::Protect_directiveContext *ctx) {
-            forwardToParser(ctx);
+    void enterSv_package(SV3_1aPpParser::Sv_packageContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->setInDesignElement();
         }
-        //void exitProtect_directive(SV3_1aPpParser::Protect_directiveContext * /*ctx*/)  { }
+    }
+    //void exitSv_package(SV3_1aPpParser::Sv_packageContext * /*ctx*/);
 
-        void enterEndprotect_directive(SV3_1aPpParser::Endprotect_directiveContext *ctx) {
-            forwardToParser(ctx);
+    void enterEndpackage(SV3_1aPpParser::EndpackageContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->unsetInDesignElement();
         }
-        //void exitEndprotect_directive(SV3_1aPpParser::Endprotect_directiveContext * /*ctx*/)  { }
+    }
+    //void exitEndpackage(SV3_1aPpParser::EndpackageContext * /*ctx*/);
 
-        void enterProtected_directive(SV3_1aPpParser::Protected_directiveContext *ctx) {
-            m_inProtectedRegion = true;
-            if (m_pp->getCompileSourceFile()->getCommandLineParser()->filterProtectedRegions()) {
-                m_filterProtectedRegions = true;
-            } else {
-                forwardToParser(ctx);
-            }
+    void enterChecker(SV3_1aPpParser::CheckerContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->setInDesignElement();
         }
-        //void exitProtected_directive(SV3_1aPpParser::Protected_directiveContext * /*ctx*/)  { }
+    }
+    //void exitChecker(SV3_1aPpParser::CheckerContext * /*ctx*/);
 
-        void enterEndprotected_directive(SV3_1aPpParser::Endprotected_directiveContext *ctx) {
-            m_inProtectedRegion = false;
-            if (!m_pp->getCompileSourceFile()->getCommandLineParser()->filterProtectedRegions())
-              forwardToParser(ctx);
+    void enterEndchecker(SV3_1aPpParser::EndcheckerContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->unsetInDesignElement();
         }
-        //void exitEndprotected_directive(SV3_1aPpParser::Endprotected_directiveContext * /*ctx*/)  { }
+    }
+    //void exitEndchecker(SV3_1aPpParser::EndcheckerContext * /*ctx*/);
 
-        void enterExpand_vectornets_directive(SV3_1aPpParser::Expand_vectornets_directiveContext *ctx) {
-            forwardToParser(ctx);
+    void enterConfig(SV3_1aPpParser::ConfigContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->setInDesignElement();
         }
-        //void exitExpand_vectornets_directive(SV3_1aPpParser::Expand_vectornets_directiveContext * /*ctx*/)  { }
+    }
+    //void exitConfig(SV3_1aPpParser::ConfigContext * /*ctx*/);
 
-        void enterNoexpand_vectornets_directive(SV3_1aPpParser::Noexpand_vectornets_directiveContext *ctx) {
-            forwardToParser(ctx);
+    void enterEndconfig(SV3_1aPpParser::EndconfigContext *ctx)
+    {
+        if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
+            std::string text_blob = ctx->getText();
+            m_pp->append(text_blob);
+            m_pp->getCompilationUnit()->unsetInDesignElement();
         }
-        //void exitNoexpand_vectornets_directive(SV3_1aPpParser::Noexpand_vectornets_directiveContext * /*ctx*/)  { }
+    }
+    //void exitEndconfig(SV3_1aPpParser::EndconfigContext * /*ctx*/);
 
-        void enterAutoexpand_vectornets_directive(SV3_1aPpParser::Autoexpand_vectornets_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitAutoexpand_vectornets_directive(SV3_1aPpParser::Autoexpand_vectornets_directiveContext * /*ctx*/)  { }
-
-        void enterUselib_directive(SV3_1aPpParser::Uselib_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitUselib_directive(SV3_1aPpParser::Uselib_directiveContext * /*ctx*/)  { }
-
-        void enterDisable_portfaults_directive(SV3_1aPpParser::Disable_portfaults_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitDisable_portfaults_directive(SV3_1aPpParser::Disable_portfaults_directiveContext * /*ctx*/)  { }
-
-        void enterEnable_portfaults_directive(SV3_1aPpParser::Enable_portfaults_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitEnable_portfaults_directive(SV3_1aPpParser::Enable_portfaults_directiveContext * /*ctx*/)  { }
-
-        void enterNosuppress_faults_directive(SV3_1aPpParser::Nosuppress_faults_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitNosuppress_faults_directive(SV3_1aPpParser::Nosuppress_faults_directiveContext * /*ctx*/)  { }
-
-        void enterSuppress_faults_directive(SV3_1aPpParser::Suppress_faults_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitSuppress_faults_directive(SV3_1aPpParser::Suppress_faults_directiveContext * /*ctx*/)  { }
-
-        void enterSigned_directive(SV3_1aPpParser::Signed_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitSigned_directive(SV3_1aPpParser::Signed_directiveContext * /*ctx*/)  { }
-
-        void enterUnsigned_directive(SV3_1aPpParser::Unsigned_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitUnsigned_directive(SV3_1aPpParser::Unsigned_directiveContext * /*ctx*/)  { }
-
-        void enterRemove_gatename_directive(SV3_1aPpParser::Remove_gatename_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitRemove_gatename_directive(SV3_1aPpParser::Remove_gatename_directiveContext * /*ctx*/)  { }
-
-        void enterNoremove_gatenames_directive(SV3_1aPpParser::Noremove_gatenames_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitNoremove_gatenames_directive(SV3_1aPpParser::Noremove_gatenames_directiveContext * /*ctx*/)  { }
-
-        void enterRemove_netname_directive(SV3_1aPpParser::Remove_netname_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitRemove_netname_directive(SV3_1aPpParser::Remove_netname_directiveContext * /*ctx*/)  { }
-
-        void enterNoremove_netnames_directive(SV3_1aPpParser::Noremove_netnames_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitNoremove_netnames_directive(SV3_1aPpParser::Noremove_netnames_directiveContext * /*ctx*/)  { }
-
-        void enterAccelerate_directive(SV3_1aPpParser::Accelerate_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitAccelerate_directive(SV3_1aPpParser::Accelerate_directiveContext * /*ctx*/)  { }
-
-        void enterNoaccelerate_directive(SV3_1aPpParser::Noaccelerate_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitNoaccelerate_directive(SV3_1aPpParser::Noaccelerate_directiveContext * /*ctx*/)  { }
-
-        void enterDefault_trireg_strenght_directive(SV3_1aPpParser::Default_trireg_strenght_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitDefault_trireg_strenght_directive(SV3_1aPpParser::Default_trireg_strenght_directiveContext * /*ctx*/)  { }
-
-        void enterDefault_decay_time_directive(SV3_1aPpParser::Default_decay_time_directiveContext *ctx) {
-            forwardToParser(ctx);
-             m_pp->pauseAppend ();
-        }
-        void exitDefault_decay_time_directive(SV3_1aPpParser::Default_decay_time_directiveContext * /*ctx*/)  { 
-            m_pp->resumeAppend();
-        }
-
-        void enterInclude_directive(SV3_1aPpParser::Include_directiveContext * ctx);
-        void exitInclude_directive(SV3_1aPpParser::Include_directiveContext * ctx);
-
-        void enterUnconnected_drive_directive(SV3_1aPpParser::Unconnected_drive_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitUnconnected_drive_directive(SV3_1aPpParser::Unconnected_drive_directiveContext * /*ctx*/)  { }
-
-        void enterNounconnected_drive_directive(SV3_1aPpParser::Nounconnected_drive_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitNounconnected_drive_directive(SV3_1aPpParser::Nounconnected_drive_directiveContext * /*ctx*/)  { }
-
-        void enterDelay_mode_distributed_directive(SV3_1aPpParser::Delay_mode_distributed_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitDelay_mode_distributed_directive(SV3_1aPpParser::Delay_mode_distributed_directiveContext * /*ctx*/)  { }
-
-        void enterDelay_mode_path_directive(SV3_1aPpParser::Delay_mode_path_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-
-        void enterDelay_mode_unit_directive(SV3_1aPpParser::Delay_mode_unit_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitDelay_mode_unit_directive(SV3_1aPpParser::Delay_mode_unit_directiveContext * /*ctx*/)  { }
-
-        void enterDelay_mode_zero_directive(SV3_1aPpParser::Delay_mode_zero_directiveContext *ctx) {
-            forwardToParser(ctx);
-        }
-        //void exitDelay_mode_zero_directive(SV3_1aPpParser::Delay_mode_zero_directiveContext * /*ctx*/)  { }
-
-        void enterUndefineall_directive(SV3_1aPpParser::Undefineall_directiveContext * /*ctx*/) {
-            std::set<PreprocessFile*> visited;
-            m_pp->undefineAllMacros(visited);
-        }
-        //void exitUndefineall_directive(SV3_1aPpParser::Undefineall_directiveContext * /*ctx*/)  { }
-
-        void enterDefine_directive(SV3_1aPpParser::Define_directiveContext * ctx); 
-        void exitDefine_directive(SV3_1aPpParser::Define_directiveContext *ctx);
-
-        void enterMultiline_no_args_macro_definition(SV3_1aPpParser::Multiline_no_args_macro_definitionContext * ctx) {
-            if (m_inActiveBranch) {
-                std::string macroName;
-                if (ctx->Simple_identifier())
-                    macroName = ctx->Simple_identifier()->getText();
-                else if (ctx->Escaped_identifier()) {
-                    macroName = ctx->Escaped_identifier()->getText();
-                    macroName.erase(0,1);
-                    StringUtils::rtrim(macroName);
-                }
-                m_inMacroDefinitionParsing = true;
-                SV3_1aPpParser::Escaped_macro_definition_bodyContext* cBody = ctx->escaped_macro_definition_body();
-                std::vector<Token*> tokens = ParseUtils::getFlatTokenList(cBody);
-                std::vector<std::string> body_tokens;
-                for (auto token : tokens) {
-                    body_tokens.push_back(token->getText());
-                }
-                std::pair<int, int> lineCol = ParseUtils::getLineColumn(ctx->Simple_identifier() ? ctx->Simple_identifier() : ctx->Escaped_identifier());
-                checkMultiplyDefinedMacro(macroName, ctx);
- 
-                m_pp->recordMacro(macroName, m_pp->getLineNb(lineCol.first), lineCol.second, "", body_tokens);
-            }
-        }
-
-        void exitMultiline_no_args_macro_definition(SV3_1aPpParser::Multiline_no_args_macro_definitionContext *ctx) {
-            m_inMacroDefinitionParsing = false;
-        }
-
-        void enterMultiline_args_macro_definition(SV3_1aPpParser::Multiline_args_macro_definitionContext *ctx) {
-            if (m_inActiveBranch) {
-                std::string macroName;
-                if (ctx->Simple_identifier())
-                    macroName = ctx->Simple_identifier()->getText();
-                else if (ctx->Escaped_identifier()) {
-                    macroName = ctx->Escaped_identifier()->getText();
-                    macroName.erase(0,1);
-                    StringUtils::rtrim(macroName);
-                }
-                m_inMacroDefinitionParsing = true;
-                SV3_1aPpParser::Escaped_macro_definition_bodyContext* cBody = ctx->escaped_macro_definition_body();
-                std::string arguments = ctx->macro_arguments()->getText();
-                std::vector<Token*> tokens = ParseUtils::getFlatTokenList(cBody);
-                std::vector<std::string> body_tokens;
-                for (auto token : tokens) {
-                    body_tokens.push_back(token->getText());
-                }
-                std::pair<int, int> lineCol = ParseUtils::getLineColumn(ctx->Simple_identifier() ? ctx->Simple_identifier() : ctx->Escaped_identifier());
-  
-                checkMultiplyDefinedMacro(macroName, ctx);
-                m_pp->recordMacro(macroName, m_pp->getLineNb(lineCol.first), lineCol.second, arguments, body_tokens);
-            }
-        }
-
-        void exitMultiline_args_macro_definition(SV3_1aPpParser::Multiline_args_macro_definitionContext *ctx) {
-            m_inMacroDefinitionParsing = false;
-        }
-
-        void enterSimple_no_args_macro_definition(SV3_1aPpParser::Simple_no_args_macro_definitionContext * ctx);
-        void exitSimple_no_args_macro_definition(SV3_1aPpParser::Simple_no_args_macro_definitionContext *ctx);
-
-        void enterSimple_args_macro_definition(SV3_1aPpParser::Simple_args_macro_definitionContext *ctx) {
-            if (m_inActiveBranch) {
-                std::string macroName;
-                if (ctx->Simple_identifier())
-                    macroName = ctx->Simple_identifier()->getText();
-                else if (ctx->Escaped_identifier()) {
-                    macroName = ctx->Escaped_identifier()->getText();
-                    macroName.erase(0,1);
-                    StringUtils::rtrim(macroName);
-                }
-                m_inMacroDefinitionParsing = true;
-                //std::string wholeMacro = ctx->getText();
-                SV3_1aPpParser::Simple_macro_definition_bodyContext* cBody = ctx->simple_macro_definition_body();
-                std::string arguments = ctx->macro_arguments()->getText();
-                std::vector<Token*> tokens = ParseUtils::getFlatTokenList(cBody);
-                std::vector<std::string> body_tokens;
-                for (auto token : tokens) {
-                    body_tokens.push_back(token->getText());
-                }
-                std::pair<int, int> lineCol = ParseUtils::getLineColumn(ctx->Simple_identifier() ? ctx->Simple_identifier() : ctx->Escaped_identifier());
-                checkMultiplyDefinedMacro(macroName, ctx);
-                m_pp->recordMacro(macroName, m_pp->getLineNb(lineCol.first), lineCol.second, arguments, body_tokens);
-            }
-        }
-
-        void exitSimple_args_macro_definition(SV3_1aPpParser::Simple_args_macro_definitionContext *ctx) {
-            m_inMacroDefinitionParsing = false;
-        }
-
-        //void enterEscaped_macro_definition_body(SV3_1aPpParser::Escaped_macro_definition_bodyContext * /*ctx*/) { }
-        //void exitEscaped_macro_definition_body(SV3_1aPpParser::Escaped_macro_definition_bodyContext * /*ctx*/)  { }
-
-        //void enterSimple_macro_definition_body(SV3_1aPpParser::Simple_macro_definition_bodyContext * /*ctx*/) { }
-        //void exitSimple_macro_definition_body(SV3_1aPpParser::Simple_macro_definition_bodyContext * /*ctx*/)  { }
-
-        void enterMacroInstanceWithArgs(SV3_1aPpParser::MacroInstanceWithArgsContext * ctx);
-        void exitMacroInstanceWithArgs(SV3_1aPpParser::MacroInstanceWithArgsContext *  ctx);
-
-        void enterMacroInstanceNoArgs(SV3_1aPpParser::MacroInstanceNoArgsContext * ctx);
-        //void exitMacroInstanceNoArgs(SV3_1aPpParser::MacroInstanceNoArgsContext * /*ctx*/) { }
-
-        void enterUnterminated_string(SV3_1aPpParser::Unterminated_stringContext *ctx);
-        //void exitUnterminated_string(SV3_1aPpParser::Unterminated_stringContext * /*ctx*/) { }
-
-        void enterText_blob(SV3_1aPpParser::Text_blobContext * ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion))) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-            }
-        }
-        //void exitText_blob(SV3_1aPpParser::Text_blobContext * /*ctx*/)  { }
-
-        void enterEscaped_identifier(SV3_1aPpParser::Escaped_identifierContext * ctx);
-        // void exitEscaped_identifier(SV3_1aPpParser::Escaped_identifierContext * /*ctx*/) { }
-        
-        
-        void enterString(SV3_1aPpParser::StringContext *ctx);
-        //void exitString(SV3_1aPpParser::StringContext * /*ctx*/) { }
-
-        void enterModule(SV3_1aPpParser::ModuleContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->setInDesignElement();
-            }
-        }
-        //void exitModule(SV3_1aPpParser::ModuleContext * /*ctx*/)
-
-        void enterEndmodule(SV3_1aPpParser::EndmoduleContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->unsetInDesignElement();
-            }
-        }
-        //void exitEndmodule(SV3_1aPpParser::EndmoduleContext * /*ctx*/);
-
-        void enterSv_interface(SV3_1aPpParser::Sv_interfaceContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->setInDesignElement();
-            }
-        }
-        //void exitSv_interface(SV3_1aPpParser::Sv_interfaceContext * /*ctx*/);
-
-        void enterEndinterface(SV3_1aPpParser::EndinterfaceContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->unsetInDesignElement();
-            }
-        }
-        //void exitEndinterface(SV3_1aPpParser::EndinterfaceContext * /*ctx*/);
-
-        void enterProgram(SV3_1aPpParser::ProgramContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->setInDesignElement();
-            }
-        }
-        //void exitProgram(SV3_1aPpParser::ProgramContext * /*ctx*/);
-
-        void enterEndprogram(SV3_1aPpParser::EndprogramContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->unsetInDesignElement();
-            }
-        }
-        //void exitEndprogram(SV3_1aPpParser::EndprogramContext * /*ctx*/);
-
-        void enterPrimitive(SV3_1aPpParser::PrimitiveContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->setInDesignElement();
-            }
-        }
-        //void exitPrimitive(SV3_1aPpParser::PrimitiveContext * /*ctx*/);
-
-        void enterEndprimitive(SV3_1aPpParser::EndprimitiveContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion))&& (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->unsetInDesignElement();
-            }
-        }
-        //void exitEndprimitive(SV3_1aPpParser::EndprimitiveContext * /*ctx*/);
-
-        void enterSv_package(SV3_1aPpParser::Sv_packageContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->setInDesignElement();
-            }
-        }
-        //void exitSv_package(SV3_1aPpParser::Sv_packageContext * /*ctx*/);
-
-        void enterEndpackage(SV3_1aPpParser::EndpackageContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->unsetInDesignElement();
-            }
-        }
-        //void exitEndpackage(SV3_1aPpParser::EndpackageContext * /*ctx*/);
-
-        void enterChecker(SV3_1aPpParser::CheckerContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->setInDesignElement();
-            }
-        }
-        //void exitChecker(SV3_1aPpParser::CheckerContext * /*ctx*/);
-
-        void enterEndchecker(SV3_1aPpParser::EndcheckerContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->unsetInDesignElement();
-            }
-        }
-        //void exitEndchecker(SV3_1aPpParser::EndcheckerContext * /*ctx*/);
-
-        void enterConfig(SV3_1aPpParser::ConfigContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->setInDesignElement();
-            }
-        }
-        //void exitConfig(SV3_1aPpParser::ConfigContext * /*ctx*/);
-
-        void enterEndconfig(SV3_1aPpParser::EndconfigContext *ctx) {
-            if (m_inActiveBranch && (!(m_filterProtectedRegions && m_inProtectedRegion)) && (!m_inMacroDefinitionParsing)) {
-                std::string text_blob = ctx->getText();
-                m_pp->append(text_blob);
-                m_pp->getCompilationUnit()->unsetInDesignElement();
-            }
-        }
-        //void exitEndconfig(SV3_1aPpParser::EndconfigContext * /*ctx*/);
-
-        void enterElseif_directive(SV3_1aPpParser::Elseif_directiveContext *ctx) {
-           logError(ErrorDefinition::PP_ILLEGAL_DIRECTIVE_ELSEIF, ctx, "");
-        }
-        //void exitElseif_directive(SV3_1aPpParser::Elseif_directiveContext * /*ctx*/) {}
-    };
+    void enterElseif_directive(SV3_1aPpParser::Elseif_directiveContext *ctx)
+    {
+        logError(ErrorDefinition::PP_ILLEGAL_DIRECTIVE_ELSEIF, ctx, "");
+    }
+    //void exitElseif_directive(SV3_1aPpParser::Elseif_directiveContext * /*ctx*/) {}
+};
 
 };
 
diff --git a/tests/SimpleConstraint/SimpleConstraint.log b/tests/SimpleConstraint/SimpleConstraint.log
index adf16c5..ab0827f 100644
--- a/tests/SimpleConstraint/SimpleConstraint.log
+++ b/tests/SimpleConstraint/SimpleConstraint.log
@@ -6,9 +6,9 @@
 
 [INFO :PP0122] Preprocessing source file "top.sv".
 
-Preprocessing took 0.006s
+Preprocessing took 0.008s
 
-Preprocessing took 0.006s
+Preprocessing took 0.008s
 PP SSL Parsing: 0.000 /home/alain/Surelog/build/dist/Release//sv/builtin.sv
 PP SSL Parsing: 0.000 top.sv
 
@@ -743,10 +743,10 @@
 n<> u<721> t<Source_text> p<722> c<17> l<2>
 n<> u<722> t<Top_level_rule> l<2>
 Cache saving: 0.000000
-Parsing took 0.962s
+Parsing took 0.952s
 SLL Parsing: 0.032 ../../build/tests/SimpleConstraint/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
 Cache saving: 0.000000
-SLL Parsing: 0.924 ../../build/tests/SimpleConstraint/slpp_all/work/top.sv
+SLL Parsing: 0.914 ../../build/tests/SimpleConstraint/slpp_all/work/top.sv
 Cache saving: 0.000000
 
 [WARNI:PA0205] top.sv:4 No timescale set for "constaint_mode_ex".
@@ -783,17 +783,17 @@
 PROFILE
 ==============
 Scan libraries took 0.000s
-Preprocessing took 0.006s
+Preprocessing took 0.008s
 PP SSL Parsing: 0.000 /home/alain/Surelog/build/dist/Release//sv/builtin.sv
 PP SSL Parsing: 0.000 top.sv
-Parsing took 0.962s
+Parsing took 0.952s
 SLL Parsing: 0.032 ../../build/tests/SimpleConstraint/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
 Cache saving: 0.000000
-SLL Parsing: 0.924 ../../build/tests/SimpleConstraint/slpp_all/work/top.sv
+SLL Parsing: 0.914 ../../build/tests/SimpleConstraint/slpp_all/work/top.sv
 Cache saving: 0.000000
 Compilation took 0.000s
 Elaboration took 0.000s
-Total time 0.968s
+Total time 0.960s
 ==============
 
 [  FATAL] : 0
diff --git a/tests/UnitPython/UnitPython.log b/tests/UnitPython/UnitPython.log
index 90a79f3..45c8754 100644
--- a/tests/UnitPython/UnitPython.log
+++ b/tests/UnitPython/UnitPython.log
@@ -518,7 +518,12 @@
   Text: Configuration2 cfg  ...
 enterInterface_identifier
   File: top.v , 27
-  Text: Configuration2  ...
+  Text: Configuration2  ...[  FATAL] : 0
+[ SYNTAX] : 0
+[  ERROR] : 0
+[WARNING] : 3
+[   NOTE] : 0
+
 enterIdentifier
   File: top.v , 27
   Text: Configuration2  ...
@@ -534,119 +539,4 @@
 enterEndmodule
   File: top.v , 28
   Text: endmodule  ...
-[INFO :CP0300] Compilation...
-
-[INFO :CP0301] top.v:2 Compile package "pkg".
-
-[INFO :CP0303] top.v:13 Compile module "work@bottom".
-
-[INFO :CP0303] top.v:16 Compile module "work@top".
-
-[INFO :CP0302] top.v:3 Compile class "pkg::Configuration1".
-
-[INFO :CP0302] top.v:10 Compile class "work@Configuration2".
-
-[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
-
-[INFO :CP0302] builtin.sv:33 Compile class "work@process".
-
-[INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
-
-[ERROR:CP0317] top.v:25 Undefined type "Environment".
-
-[INFO :EL0526] Design Elaboration...
-
-[NOTE :EL0503] top.v:16 Top level module "work@top".
-
-[NOTE :EL0508] Nb Top level modules: 1.
-
-[NOTE :EL0509] Max instance depth: 2.
-
-[NOTE :EL0510] Nb instances: 2.
-
-[NOTE :EL0511] Nb leaf instances: 1.
-
-top.v
-2
-23
-Type: 754
-True
-False
-[WARNI:PY0099] top.v:16 Instance "work@top".
-
-[WARNI:PY0099] top.v:23 Instance "bot".
-
-[  FATAL] : 0
-[ SYNTAX] : 0
-[  ERROR] : 1
-[WARNING] : 5
-[   NOTE] : 5
-27
-work@UnsupportedPrimitive  0
-  ()
-work@and  0
-  ()
-work@bottom top.v 13
-  ()
-work@buf  0
-  ()
-work@bufif0  0
-  ()
-work@bufif1  0
-  ()
-work@cmos  0
-  ()
-work@nand  0
-  ()
-work@nmos  0
-  ()
-work@nor  0
-  ()
-work@not  0
-  ()
-work@notif0  0
-  ()
-work@notif1  0
-  ()
-work@or  0
-  ()
-work@pmos  0
-  ()
-work@rcmos  0
-  ()
-work@rnmos  0
-  ()
-work@rpmos  0
-  ()
-work@rtran  0
-  ()
-work@rtranif0  0
-  ()
-work@rtranif1  0
-  ()
-work@top top.v 16
-  (54, 72, 80, 88, 98, 106, 114, 122)
-work@tran  0
-  ()
-work@tranif0  0
-  ()
-work@tranif1  0
-  ()
-work@xnor  0
-  ()
-work@xor  0
-  ()
-9
-builtin::array  0 0
-builtin::queue  0 0
-builtin::string  0 0
-builtin::system  0 0
-pkg::Configuration1 top.v 3 4
-work@Configuration2 top.v 10 26
-work@mailbox /home/alain/Surelog/build/dist/Release//sv/builtin.sv 4 103
-work@process /home/alain/Surelog/build/dist/Release//sv/builtin.sv 33 171
-work@semaphore /home/alain/Surelog/build/dist/Release//sv/builtin.sv 58 246
-1
-work@top work@top
-bot work@bottom
 
diff --git a/tests/UnitTest/UnitTest.log b/tests/UnitTest/UnitTest.log
index 11855a6..8af1728 100644
--- a/tests/UnitTest/UnitTest.log
+++ b/tests/UnitTest/UnitTest.log
@@ -3,6 +3,7 @@
 [INFO :CM0020] Separate compilation-unit mode is on.
 
 PP PREPROCESS FILE: top.v
+Defining macro:declare_bp_mem_wormhole_payload_s
 PP RECORDING MACRO: declare_bp_mem_wormhole_payload_s: | \
     typedef struct packed                                               \
     {                                                                   \
@@ -17,11 +18,6 @@
 
 ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
 
-PP RESULT for file top.v : 
-vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv
-
-^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
-
 [INFO :CP0300] Compilation...
 
 [INFO :EL0526] Design Elaboration...
diff --git a/tests/regression.tcl b/tests/regression.tcl
index 5a445e6..c6cd983 100755
--- a/tests/regression.tcl
+++ b/tests/regression.tcl
@@ -296,6 +296,10 @@
     return [list $fatals $errors $warnings $notes $details $syntax]
 }
 
+proc count_split { string } {
+    return [llength [split $string /]]
+}
+
 proc run_regression { } {
     global TESTS TESTS_DIR SURELOG_COMMAND LONGESTTESTNAME TESTTARGET ONETEST UPDATE USER ELAPSED PRIOR_USER PRIOR_ELAPSED
     global DIFF_TESTS PRIOR_MAX_MEM MAX_MEM MAX_TIME PRIOR_MAX_TIME SHOW_DETAILS MT_MAX MP_MAX KEEP_MT_ON REGRESSION_PATH LARGE_TESTS LONG_TESTS  DIFF_MODE
@@ -363,17 +367,18 @@
 
 	set passstatus "PASS"
 	if {$DIFF_MODE == 0} {
-	    set output_path "-o ../../build/tests/$test/"
-	    if [regexp {third_party} $testdir] {
-		set output_path "-o ../../../build/tests/$test/"
+	    set path [file dirname $REGRESSION_PATH]
+	    regsub -all $path $testdir "" path
+	    set count [count_split $path]
+	    set root ""
+	    for {set i 0} {$i < $count -1} {incr i} {
+		append root "../"
 	    }
+	    set output_path "-o ${root}build/tests/$test/"
 	    if [regexp {\.sh} $command] {
 		catch {set time_result [exec sh -c "time $command [lindex $SURELOG_COMMAND 1] > $REGRESSION_PATH/tests/$test/${testname}.log"]} time_result
 	    } else {
-		if {$testname != "BuildOVMPkg"} {
-		    # The purpose of the test BuildOVMPkg is to test compiling the package without using the precompiled version
-		    regsub -all {\-nocache} $command "" command
-		}
+	
 		if [regexp {\*/\*\.[sv]} $command] {
 		    regsub -all {[\*/]+\*\.[sv]+} $command "" command
 		    set command "$command [findFiles . *.v] [findFiles . *.sv]"
@@ -384,7 +389,7 @@
 		    regsub -all {\-mt[ ]+[0-9]+} $command "" command		    
 		    set command "$command -mt $MT_MAX -mp $MP_MAX $output_path"
 		} else {
-		    set command "$command -o $output_path"
+		    set command "$command $output_path"
 		}
                 if {($ONETEST != "") && ($testname != $ONETEST)} {
 		    continue
@@ -490,7 +495,7 @@
 	    if {$PRIOR_MAX_TIME < $prior_elapsed} {
 		set PRIOR_MAX_TIME $prior_elapsed
 	    }
-	    if [expr $elapsed > $prior_elapsed] {
+	    if [expr ($elapsed > $prior_elapsed) && ($no_previous_time_content == 0)] {
 		set SPEED [format "%-*s %-*s " 4 "${elapsed}s" 5 "(+[expr $elapsed - $prior_elapsed]s)"]
 		set FASTER_OR_SLOWER 1
 	    } elseif [expr ($elapsed == $prior_elapsed) || ($no_previous_time_content)] {
@@ -506,7 +511,7 @@
 	    if {$PRIOR_MAX_MEM < $prior_mem} {
 		set PRIOR_MAX_MEM $prior_mem
 	    }
-	    if [expr $mem > $prior_mem] {
+	    if [expr ($mem > $prior_mem)  && ($no_previous_time_content == 0)] {
 		set MEM  [format "%-*s %-*s " 4 "${mem}" 5 "(+[expr $mem - $prior_mem])"]
 		set DIFF_MEM 1
 	    } elseif  [expr ($mem == $prior_mem) || ($no_previous_time_content)] {
diff --git a/third_party/tests/AmiqEth/AmiqEth.log b/third_party/tests/AmiqEth/AmiqEth.log
index d9c2084..f6a18c1 100644
--- a/third_party/tests/AmiqEth/AmiqEth.log
+++ b/third_party/tests/AmiqEth/AmiqEth.log
@@ -1580,9 +1580,9 @@
 
 [ERROR:EL0514] ovm-2.1.2/src/base/ovm_comparer.svh:188 Undefined variable: OVM_UNPACK.
 
-[ERROR:EL0514] ovm_pkg::avm_put_port:187 Undefined variable: OVM_PACK.
+[ERROR:EL0514] ovm_pkg::avm_put_imp:187 Undefined variable: OVM_PACK.
 
-[ERROR:EL0514] ovm_pkg::avm_put_port:188 Undefined variable: OVM_UNPACK.
+[ERROR:EL0514] ovm_pkg::avm_put_imp:188 Undefined variable: OVM_UNPACK.
 
 [INFO :EL0526] Design Elaboration...
 
diff --git a/third_party/tests/BlackParrot/BlackParrot.log b/third_party/tests/BlackParrot/BlackParrot.log
index 8a57df7..47cd5f3 100644
--- a/third_party/tests/BlackParrot/BlackParrot.log
+++ b/third_party/tests/BlackParrot/BlackParrot.log
@@ -28,9 +28,6 @@
 
 [INFO :PP0123] Preprocessing include file "./bp_common/src/include/bp_common_fe_be_if.vh".
 
-[ERROR:PP0109] ./external/basejump_stl/bsg_misc/bsg_defines.v Macro instantiation omits argument 1 (x) for "rpgroup",
-               ./external/basejump_stl/bsg_misc/bsg_defines.v:48 No default value for argument 1 (x) in macro definition.
-
 [WARNI:PP0113] ./bp_common/src/include/bp_common_fe_be_if.vh:276 Unused macro argument "vaddr_width_mp".
 
 [WARNI:PP0113] ./bp_common/src/include/bp_common_fe_be_if.vh:279 Unused macro argument "vaddr_width_mp".
@@ -1884,7 +1881,7 @@
 
 [  FATAL] : 0
 [ SYNTAX] : 0
-[  ERROR] : 15
+[  ERROR] : 14
 [WARNING] : 219
 [   NOTE] : 108
 
diff --git a/third_party/tests/BuildOVMPkg/BuildOVMPkg.log b/third_party/tests/BuildOVMPkg/BuildOVMPkg.log
index 7ed8c72..369a58d 100644
--- a/third_party/tests/BuildOVMPkg/BuildOVMPkg.log
+++ b/third_party/tests/BuildOVMPkg/BuildOVMPkg.log
@@ -235,11 +235,11 @@
 
 [INFO :PP0123] Preprocessing include file "../../UVM/ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh".
 
-Preprocessing took 0.914s
+Preprocessing took 1.286s
 
-Preprocessing took 0.914s
-PP SSL Parsing: 0.000 /home/alain/Surelog/build/dist/Release//sv/builtin.sv
-PP SSL Parsing: 0.002 ../../UVM/ovm-2.1.2/src/ovm_pkg.sv
+Preprocessing took 1.286s
+PP SSL Parsing: 0.002 /home/alain/Surelog/build/dist/Release//sv/builtin.sv
+PP SSL Parsing: 0.004 ../../UVM/ovm-2.1.2/src/ovm_pkg.sv
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
@@ -247,10 +247,10 @@
 [INFO :PA0201] Parsing source file "../../UVM/ovm-2.1.2/src/ovm_pkg.sv".
 
 Cache saving: 0.000000
-Parsing took 44.332s
-SLL Parsing: 0.032 ../../../build/tests/BuildOVMPkg/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
+Parsing took 42.572s
+SLL Parsing: 0.056 ../../../build/tests/BuildOVMPkg/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
 Cache saving: 0.000000
-LL  Parsing: 43.446 ../../../build/tests/BuildOVMPkg/slpp_all/work/__/__/UVM/ovm-2.1.2/src/ovm_pkg.sv
+LL  Parsing: 41.544 ../../../build/tests/BuildOVMPkg/slpp_all/work/__/__/UVM/ovm-2.1.2/src/ovm_pkg.sv
 Cache saving: 0.000000
 
 [WARNI:PA0205] ../../UVM/ovm-2.1.2/src/ovm_pkg.sv:23 No timescale set for "ovm_pkg".
@@ -817,7 +817,7 @@
 
 [INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
 
-Compilation took 0.024s
+Compilation took 0.022s
 
 [INFO :EL0526] Design Elaboration...
 
@@ -835,17 +835,17 @@
 PROFILE
 ==============
 Scan libraries took 0.000s
-Preprocessing took 0.914s
-PP SSL Parsing: 0.000 /home/alain/Surelog/build/dist/Release//sv/builtin.sv
-PP SSL Parsing: 0.002 ../../UVM/ovm-2.1.2/src/ovm_pkg.sv
-Parsing took 44.332s
-SLL Parsing: 0.032 ../../../build/tests/BuildOVMPkg/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
+Preprocessing took 1.286s
+PP SSL Parsing: 0.002 /home/alain/Surelog/build/dist/Release//sv/builtin.sv
+PP SSL Parsing: 0.004 ../../UVM/ovm-2.1.2/src/ovm_pkg.sv
+Parsing took 42.572s
+SLL Parsing: 0.056 ../../../build/tests/BuildOVMPkg/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
 Cache saving: 0.000000
-LL  Parsing: 43.446 ../../../build/tests/BuildOVMPkg/slpp_all/work/__/__/UVM/ovm-2.1.2/src/ovm_pkg.sv
+LL  Parsing: 41.544 ../../../build/tests/BuildOVMPkg/slpp_all/work/__/__/UVM/ovm-2.1.2/src/ovm_pkg.sv
 Cache saving: 0.000000
-Compilation took 0.024s
+Compilation took 0.022s
 Elaboration took 0.018s
-Total time 45.290s
+Total time 43.898s
 ==============
 
 [  FATAL] : 0
diff --git a/third_party/tests/Google/Google.sl b/third_party/tests/Google/Google.sl
index 4ae6caa..fcf1742 100644
--- a/third_party/tests/Google/Google.sl
+++ b/third_party/tests/Google/Google.sl
@@ -1 +1 @@
- +incdir+.+../../../UVM/uvm-1.2/src/        -writepp -parse  -d inst  -mt 0    -nocache -nobuiltin +define+DIGITS=10  +define+WIDTH=2  +define+EXPAND_TO_STRING=toto.svh +define+EXPAND_TO_PATH+top/toto2/ -nopython -fileunit  -nocache  -Ichapter-22/ *.sv */*.sv */*/*.sv */*/*/*.sv */*/*/*/*.sv
+ +incdir+.+../../../UVM/uvm-1.2/src/ -writepp -parse -nocache -nobuiltin +define+DIGITS=10  +define+WIDTH=2  +define+EXPAND_TO_STRING=toto.svh +define+EXPAND_TO_PATH+top/toto2/ -nopython -fileunit -Ichapter-22/ *.sv */*.sv */*/*.sv */*/*/*.sv */*/*/*/*.sv
diff --git a/third_party/tests/Google/GoogleMT.sl b/third_party/tests/Google/GoogleMT.sl
index a708344..0cda75d 100644
--- a/third_party/tests/Google/GoogleMT.sl
+++ b/third_party/tests/Google/GoogleMT.sl
@@ -1 +1 @@
- +incdir+.+../../../UVM/uvm-1.2/src/        -writepp -parse  -d inst  -mt max   -nocache -nobuiltin +define+DIGITS=10   +define+WIDTH=2 +define+EXPAND_TO_STRING=toto.svh +define+EXPAND_TO_PATH+top/toto2/  -nopython  -fileunit -nocache  -Ichapter-22/ *.sv */*.sv */*/*.sv */*/*/*.sv */*/*/*/*.sv
+ +incdir+.+../../../UVM/uvm-1.2/src/ -writepp -parse -mt max -nocache -nobuiltin +define+DIGITS=10   +define+WIDTH=2 +define+EXPAND_TO_STRING=toto.svh +define+EXPAND_TO_PATH+top/toto2/ -nopython -fileunit -Ichapter-22/ *.sv */*.sv */*/*.sv */*/*/*.sv */*/*/*/*.sv
diff --git a/third_party/tests/Icarus/Icarus.log b/third_party/tests/Icarus/Icarus.log
index 5893ed9..701bfd1 100644
--- a/third_party/tests/Icarus/Icarus.log
+++ b/third_party/tests/Icarus/Icarus.log
@@ -922,18 +922,6 @@
 
 [INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
 
-[ERROR:CP0334] ivltests/include1.v:94 Colliding compilation unit name: "ifdef1",
-               ivltests/include1.v:23 previous usage.
-
-[ERROR:CP0334] ivltests/include2.v:94 Colliding compilation unit name: "ifdef1",
-               ivltests/include2.v:23 previous usage.
-
-[ERROR:CP0334] ivltests/include2.v:166 Colliding compilation unit name: "ifdef1",
-               ivltests/include2.v:23 previous usage.
-
-[ERROR:CP0334] ivltests/include2.v:237 Colliding compilation unit name: "ifdef1",
-               ivltests/include2.v:23 previous usage.
-
 [NOTE :CP0309] ivltests/implicit1.v:6 Implicit port type (wire) for "ChargeDone".
 
 [NOTE :CP0309] ivltests/specify1.v:17 Implicit port type (wire) for "P".
@@ -1273,6 +1261,7 @@
 
 [WARNI:EL0505] ivltests/dotinid.v:27 Multiply defined module "work@a",
                ivltests/contrib8.2.v:42 previous definition,
+               ivltests/event2.v:24 previous definition,
                ivltests/hierspace.v:27 previous definition,
                ivltests/mangle_1.v:25 previous definition,
                ivltests/scope3.v:17 previous definition.
@@ -1344,12 +1333,8 @@
                ivltests/ifdef1.v:23 previous definition,
                ivltests/ifdef3.v:23 previous definition,
                ivltests/else3.v:23 previous definition,
-               ivltests/include1.v:23 previous definition,
-               ivltests/include1.v:94 previous definition,
-               ivltests/include2.v:23 previous definition,
-               ivltests/include2.v:94 previous definition,
-               ivltests/include2.v:166 previous definition,
-               ivltests/include2.v:237 previous definition.
+               ivltests/else3.v:23 previous definition,
+               ivltests/else3.v:23 previous definition.
 
 [WARNI:EL0505] ivltests/ifdef4.v:23 Multiply defined module "work@ifdef2",
                ivltests/ifdef2.v:23 previous definition.
@@ -1749,6 +1734,12 @@
 [WARNI:EL0505] ivltests/shellho1.v:95 Multiply defined module "work@memory",
                ivltests/modparam.v:44 previous definition.
 
+[WARNI:EL0505] ivltests/mult16.v:93 Multiply defined module "work@mul16",
+               contrib/mult16.v:93 previous definition.
+
+[WARNI:EL0505] ivltests/mult16.v:33 Multiply defined module "work@mult16",
+               contrib/mult16.v:33 previous definition.
+
 [WARNI:EL0505] ivltests/ga_mod1.v:22 Multiply defined module "work@my_and",
                ivltests/ga_mod.v:23 previous definition.
 
@@ -1838,7 +1829,6 @@
                ivltests/contrib8.3.v:20 previous definition,
                ivltests/disblock2.v:1 previous definition,
                ivltests/escape1.v:21 previous definition,
-               vpi/event2.v:1 previous definition,
                ivltests/for3.16A.v:22 previous definition,
                ivltests/force3.17A.v:22 previous definition,
                ivltests/force3.17B.v:22 previous definition,
@@ -2012,7 +2002,7 @@
 
 [  FATAL] : 0
 [ SYNTAX] : 4
-[  ERROR] : 10
-[WARNING] : 262
+[  ERROR] : 6
+[WARNING] : 264
 [   NOTE] : 160
 
diff --git a/third_party/tests/Icarus/Icarus.sl b/third_party/tests/Icarus/Icarus.sl
index 0be9742..bc608be 100644
--- a/third_party/tests/Icarus/Icarus.sl
+++ b/third_party/tests/Icarus/Icarus.sl
@@ -1 +1 @@
- +incdir+.+../../../UVM/uvm-1.2/src/     -fileunit    -writepp -parse   -mt max   vpi/*.v contrib/*.v ivltests/*.v -nocache
+ +incdir+.+../../../UVM/uvm-1.2/src/ -fileunit -writepp -parse vpi/*.v contrib/*.v ivltests/*.v -nocache
diff --git a/third_party/tests/Scr1/Scr1.log b/third_party/tests/Scr1/Scr1.log
index eba0a2d..8ef8b6d 100644
--- a/third_party/tests/Scr1/Scr1.log
+++ b/third_party/tests/Scr1/Scr1.log
@@ -6,9 +6,9 @@
 
 [WARNI:PP0103] src/includes/scr1_arch_description.svh:63 Undefining an unknown macro "SCR1_CLKCTRL_EN".
 
-Preprocessing took 0.434s
+Preprocessing took 0.438s
 
-Preprocessing took 0.434s
+Preprocessing took 0.438s
 PP SSL Parsing: 0.000 /home/alain/Surelog/build/dist/Release//sv/builtin.sv
 PP SSL Parsing: 0.006 src/pipeline/scr1_pipe_hdu.sv
 PP SSL Parsing: 0.002 src/pipeline/scr1_pipe_tdu.sv
@@ -46,14 +46,14 @@
 Cache saving: 0.002000
 Cache saving: 0.002000
 Cache saving: 0.000000
+Cache saving: 0.002000
+Cache saving: 0.002000
+Cache saving: 0.000000
+Cache saving: 0.002000
+Cache saving: 0.002000
+Cache saving: 0.002000
+Cache saving: 0.000000
 Cache saving: 0.004000
-Cache saving: 0.002000
-Cache saving: 0.000000
-Cache saving: 0.002000
-Cache saving: 0.002000
-Cache saving: 0.002000
-Cache saving: 0.000000
-Cache saving: 0.002000
 Cache saving: 0.000000
 Cache saving: 0.000000
 Cache saving: 0.000000
@@ -74,70 +74,70 @@
 Cache saving: 0.000000
 Cache saving: 0.000000
 Cache saving: 0.000000
-Parsing took 17.516s
+Parsing took 17.230s
 SLL Parsing: 0.032 ../../../build/tests/Scr1/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
 Cache saving: 0.000000
-SLL Parsing: 2.952 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_hdu.sv
+SLL Parsing: 3.216 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_hdu.sv
 Cache saving: 0.002000
-SLL Parsing: 1.004 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_tdu.sv
+SLL Parsing: 1.000 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_tdu.sv
 Cache saving: 0.002000
-LL  Parsing: 1.154 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_ipic.sv
+LL  Parsing: 1.024 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_ipic.sv
 Cache saving: 0.000000
-SLL Parsing: 0.354 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_csr.sv
+SLL Parsing: 0.326 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_csr.sv
+Cache saving: 0.002000
+SLL Parsing: 0.470 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_exu.sv
+Cache saving: 0.002000
+LL  Parsing: 1.374 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_ialu.sv
+Cache saving: 0.000000
+SLL Parsing: 0.660 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_idu.sv
+Cache saving: 0.002000
+SLL Parsing: 0.486 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_ifu.sv
+Cache saving: 0.002000
+SLL Parsing: 0.074 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_lsu.sv
+Cache saving: 0.002000
+SLL Parsing: 0.060 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_mprf.sv
+Cache saving: 0.000000
+SLL Parsing: 0.278 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_top.sv
 Cache saving: 0.004000
-SLL Parsing: 0.534 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_exu.sv
-Cache saving: 0.002000
-LL  Parsing: 1.484 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_ialu.sv
-Cache saving: 0.000000
-SLL Parsing: 0.664 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_idu.sv
-Cache saving: 0.002000
-SLL Parsing: 0.434 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_ifu.sv
-Cache saving: 0.002000
-SLL Parsing: 0.064 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_lsu.sv
-Cache saving: 0.002000
-SLL Parsing: 0.054 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_mprf.sv
-Cache saving: 0.000000
-SLL Parsing: 0.244 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_top.sv
-Cache saving: 0.002000
-SLL Parsing: 0.026 ../../../build/tests/Scr1/slpp_all/work/src/core/primitives/scr1_reset_cells.sv
+SLL Parsing: 0.030 ../../../build/tests/Scr1/slpp_all/work/src/core/primitives/scr1_reset_cells.sv
 Cache saving: 0.000000
 SLL Parsing: 0.000 ../../../build/tests/Scr1/slpp_all/work/src/core/primitives/scr1_cg.sv
 Cache saving: 0.000000
 SLL Parsing: 0.000 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_clk_ctrl.sv
 Cache saving: 0.000000
-SLL Parsing: 0.104 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc_shift_reg.sv
+SLL Parsing: 0.118 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc_shift_reg.sv
 Cache saving: 0.000000
-SLL Parsing: 0.244 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc.sv
+SLL Parsing: 0.276 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc.sv
 Cache saving: 0.002000
-SLL Parsing: 0.178 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc_synchronizer.sv
+SLL Parsing: 0.202 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc_synchronizer.sv
 Cache saving: 0.002000
-SLL Parsing: 0.210 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_core_top.sv
+SLL Parsing: 0.242 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_core_top.sv
 Cache saving: 0.004000
-SLL Parsing: 0.616 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_dm.sv
+SLL Parsing: 0.696 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_dm.sv
 Cache saving: 0.004000
-SLL Parsing: 0.152 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_dmi.sv
+SLL Parsing: 0.172 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_dmi.sv
 Cache saving: 0.002000
-SLL Parsing: 0.290 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_scu.sv
+SLL Parsing: 0.286 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_scu.sv
 Cache saving: 0.000000
 SLL Parsing: 0.030 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_dmem_router.sv
 Cache saving: 0.000000
 SLL Parsing: 0.008 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_imem_router.sv
 Cache saving: 0.000000
-SLL Parsing: 0.044 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_dp_memory.sv
+SLL Parsing: 0.042 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_dp_memory.sv
 Cache saving: 0.000000
-SLL Parsing: 0.034 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_tcm.sv
+SLL Parsing: 0.032 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_tcm.sv
 Cache saving: 0.000000
-SLL Parsing: 0.130 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_timer.sv
+SLL Parsing: 0.128 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_timer.sv
 Cache saving: 0.000000
-LL  Parsing: 1.030 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_mem_axi.sv
+LL  Parsing: 0.954 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_mem_axi.sv
 Cache saving: 0.000000
-SLL Parsing: 0.086 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_top_axi.sv
+SLL Parsing: 0.074 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_top_axi.sv
 Cache saving: 0.000000
-SLL Parsing: 0.450 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_tracelog.sv
+SLL Parsing: 0.392 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_tracelog.sv
 Cache saving: 0.000000
-LL  Parsing: 2.834 ../../../build/tests/Scr1/slpp_all/work/src/tb/scr1_memory_tb_axi.sv
+LL  Parsing: 2.604 ../../../build/tests/Scr1/slpp_all/work/src/tb/scr1_memory_tb_axi.sv
 Cache saving: 0.000000
-SLL Parsing: 1.290 ../../../build/tests/Scr1/slpp_all/work/src/tb/scr1_top_tb_axi.sv
+SLL Parsing: 1.178 ../../../build/tests/Scr1/slpp_all/work/src/tb/scr1_top_tb_axi.sv
 Cache saving: 0.000000
 
 [WARNI:PA0205] src/pipeline/scr1_pipe_hdu.sv:13 No timescale set for "scr1_pipe_hdu".
@@ -212,7 +212,7 @@
 PROFILE
 ==============
 Scan libraries took 0.000s
-Preprocessing took 0.434s
+Preprocessing took 0.438s
 PP SSL Parsing: 0.000 /home/alain/Surelog/build/dist/Release//sv/builtin.sv
 PP SSL Parsing: 0.006 src/pipeline/scr1_pipe_hdu.sv
 PP SSL Parsing: 0.002 src/pipeline/scr1_pipe_tdu.sv
@@ -245,72 +245,72 @@
 PP SSL Parsing: 0.002 src/pipeline/scr1_tracelog.sv
 PP SSL Parsing: 0.002 src/tb/scr1_memory_tb_axi.sv
 PP SSL Parsing: 0.004 src/tb/scr1_top_tb_axi.sv
-Parsing took 17.516s
+Parsing took 17.230s
 SLL Parsing: 0.032 ../../../build/tests/Scr1/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
 Cache saving: 0.000000
-SLL Parsing: 2.952 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_hdu.sv
+SLL Parsing: 3.216 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_hdu.sv
 Cache saving: 0.002000
-SLL Parsing: 1.004 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_tdu.sv
+SLL Parsing: 1.000 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_tdu.sv
 Cache saving: 0.002000
-LL  Parsing: 1.154 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_ipic.sv
+LL  Parsing: 1.024 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_ipic.sv
 Cache saving: 0.000000
-SLL Parsing: 0.354 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_csr.sv
+SLL Parsing: 0.326 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_csr.sv
+Cache saving: 0.002000
+SLL Parsing: 0.470 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_exu.sv
+Cache saving: 0.002000
+LL  Parsing: 1.374 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_ialu.sv
+Cache saving: 0.000000
+SLL Parsing: 0.660 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_idu.sv
+Cache saving: 0.002000
+SLL Parsing: 0.486 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_ifu.sv
+Cache saving: 0.002000
+SLL Parsing: 0.074 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_lsu.sv
+Cache saving: 0.002000
+SLL Parsing: 0.060 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_mprf.sv
+Cache saving: 0.000000
+SLL Parsing: 0.278 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_top.sv
 Cache saving: 0.004000
-SLL Parsing: 0.534 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_exu.sv
-Cache saving: 0.002000
-LL  Parsing: 1.484 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_ialu.sv
-Cache saving: 0.000000
-SLL Parsing: 0.664 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_idu.sv
-Cache saving: 0.002000
-SLL Parsing: 0.434 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_ifu.sv
-Cache saving: 0.002000
-SLL Parsing: 0.064 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_lsu.sv
-Cache saving: 0.002000
-SLL Parsing: 0.054 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_mprf.sv
-Cache saving: 0.000000
-SLL Parsing: 0.244 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_pipe_top.sv
-Cache saving: 0.002000
-SLL Parsing: 0.026 ../../../build/tests/Scr1/slpp_all/work/src/core/primitives/scr1_reset_cells.sv
+SLL Parsing: 0.030 ../../../build/tests/Scr1/slpp_all/work/src/core/primitives/scr1_reset_cells.sv
 Cache saving: 0.000000
 SLL Parsing: 0.000 ../../../build/tests/Scr1/slpp_all/work/src/core/primitives/scr1_cg.sv
 Cache saving: 0.000000
 SLL Parsing: 0.000 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_clk_ctrl.sv
 Cache saving: 0.000000
-SLL Parsing: 0.104 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc_shift_reg.sv
+SLL Parsing: 0.118 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc_shift_reg.sv
 Cache saving: 0.000000
-SLL Parsing: 0.244 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc.sv
+SLL Parsing: 0.276 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc.sv
 Cache saving: 0.002000
-SLL Parsing: 0.178 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc_synchronizer.sv
+SLL Parsing: 0.202 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_tapc_synchronizer.sv
 Cache saving: 0.002000
-SLL Parsing: 0.210 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_core_top.sv
+SLL Parsing: 0.242 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_core_top.sv
 Cache saving: 0.004000
-SLL Parsing: 0.616 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_dm.sv
+SLL Parsing: 0.696 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_dm.sv
 Cache saving: 0.004000
-SLL Parsing: 0.152 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_dmi.sv
+SLL Parsing: 0.172 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_dmi.sv
 Cache saving: 0.002000
-SLL Parsing: 0.290 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_scu.sv
+SLL Parsing: 0.286 ../../../build/tests/Scr1/slpp_all/work/src/core/scr1_scu.sv
 Cache saving: 0.000000
 SLL Parsing: 0.030 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_dmem_router.sv
 Cache saving: 0.000000
 SLL Parsing: 0.008 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_imem_router.sv
 Cache saving: 0.000000
-SLL Parsing: 0.044 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_dp_memory.sv
+SLL Parsing: 0.042 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_dp_memory.sv
 Cache saving: 0.000000
-SLL Parsing: 0.034 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_tcm.sv
+SLL Parsing: 0.032 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_tcm.sv
 Cache saving: 0.000000
-SLL Parsing: 0.130 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_timer.sv
+SLL Parsing: 0.128 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_timer.sv
 Cache saving: 0.000000
-LL  Parsing: 1.030 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_mem_axi.sv
+LL  Parsing: 0.954 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_mem_axi.sv
 Cache saving: 0.000000
-SLL Parsing: 0.086 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_top_axi.sv
+SLL Parsing: 0.074 ../../../build/tests/Scr1/slpp_all/work/src/top/scr1_top_axi.sv
 Cache saving: 0.000000
-SLL Parsing: 0.450 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_tracelog.sv
+SLL Parsing: 0.392 ../../../build/tests/Scr1/slpp_all/work/src/pipeline/scr1_tracelog.sv
 Cache saving: 0.000000
-LL  Parsing: 2.834 ../../../build/tests/Scr1/slpp_all/work/src/tb/scr1_memory_tb_axi.sv
+LL  Parsing: 2.604 ../../../build/tests/Scr1/slpp_all/work/src/tb/scr1_memory_tb_axi.sv
 Cache saving: 0.000000
-SLL Parsing: 1.290 ../../../build/tests/Scr1/slpp_all/work/src/tb/scr1_top_tb_axi.sv
+SLL Parsing: 1.178 ../../../build/tests/Scr1/slpp_all/work/src/tb/scr1_top_tb_axi.sv
 Cache saving: 0.000000
-Total time 17.952s
+Total time 17.668s
 ==============
 
 [  FATAL] : 0
diff --git a/third_party/tests/SimpleParserTest/SimpleParserTest.log b/third_party/tests/SimpleParserTest/SimpleParserTest.log
index 416dc33..201bbfb 100644
--- a/third_party/tests/SimpleParserTest/SimpleParserTest.log
+++ b/third_party/tests/SimpleParserTest/SimpleParserTest.log
@@ -7127,8 +7127,11 @@
   File: another_arbiter.v , 118
   Text: 0  ...
 enterNumber_Integral
-  File:[INFO :PY0400] Processing source file "arbiter_tb.v".
-
+  File:[  FATAL] : 0
+[ SYNTAX] : 0
+[  ERROR] : 0
+[WARNING] : 0
+[   NOTE] : 0
  another_arbiter.v , 118
   Text: 0  ...
 enterExpression
@@ -7347,24249 +7350,4 @@
 enterEndmodule
   File: another_arbiter.v , 121
   Text: endmodule  ...
-enterTop_level_rule
-  File: arbiter_tb.v , 7
-  Text: module arbiter ( clk ...
-enterNull_rule
-  File: arbiter_tb.v , 7
-  Text:  ...
-enterSource_text
-  File: arbiter_tb.v , 7
-  Text: module arbiter ( clk ...
-enterDescription
-  File: arbiter_tb.v , 7
-  Text: module arbiter ( clk ...
-enterModule_declaration
-  File: arbiter_tb.v , 7
-  Text: module arbiter ( clk ...
-enterModule_nonansi_header
-  File: arbiter_tb.v , 7
-  Text: module arbiter ( clk ...
-enterModule_keyword
-  File: arbiter_tb.v , 7
-  Text: module  ...
-enterIdentifier
-  File: arbiter_tb.v , 7
-  Text: arbiter  ...
-enterList_of_ports
-  File: arbiter_tb.v , 7
-  Text: ( clk , rst , req3 , ...
-enterPort
-  File: arbiter_tb.v , 8
-  Text: clk  ...
-enterPort_expression
-  File: arbiter_tb.v , 8
-  Text: clk  ...
-enterPort_reference
-  File: arbiter_tb.v , 8
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 8
-  Text: clk  ...
-enterConstant_select
-  File: arbiter_tb.v , 8
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 8
-  Text:  ...
-enterPort
-  File: arbiter_tb.v , 9
-  Text: rst  ...
-enterPort_expression
-  File: arbiter_tb.v , 9
-  Text: rst  ...
-enterPort_reference
-  File: arbiter_tb.v , 9
-  Text: rst  ...
-enterIdentifier
-  File: arbiter_tb.v , 9
-  Text: rst  ...
-enterConstant_select
-  File: arbiter_tb.v , 9
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 9
-  Text:  ...
-enterPort
-  File: arbiter_tb.v , 10
-  Text: req3  ...
-enterPort_expression
-  File: arbiter_tb.v , 10
-  Text: req3  ...
-enterPort_reference
-  File: arbiter_tb.v , 10
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 10
-  Text: req3  ...
-enterConstant_select
-  File: arbiter_tb.v , 10
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 10
-  Text:  ...
-enterPort
-  File: arbiter_tb.v , 11
-  Text: req2  ...
-enterPort_expression
-  File: arbiter_tb.v , 11
-  Text: req2  ...
-enterPort_reference
-  File: arbiter_tb.v , 11
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 11
-  Text: req2  ...
-enterConstant_select
-  File: arbiter_tb.v , 11
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 11
-  Text:  ...
-enterPort
-  File: arbiter_tb.v , 12
-  Text: req1  ...
-enterPort_expression
-  File: arbiter_tb.v , 12
-  Text: req1  ...
-enterPort_reference
-  File: arbiter_tb.v , 12
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 12
-  Text: req1  ...
-enterConstant_select
-  File: arbiter_tb.v , 12
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 12
-  Text:  ...
-enterPort
-  File: arbiter_tb.v , 13
-  Text: req0  ...
-enterPort_expression
-  File: arbiter_tb.v , 13
-  Text: req0  ...
-enterPort_reference
-  File: arbiter_tb.v , 13
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 13
-  Text: req0  ...
-enterConstant_select
-  File: arbiter_tb.v , 13
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 13
-  Text:  ...
-enterPort
-  File: arbiter_tb.v , 14
-  Text: gnt3  ...
-enterPort_expression
-  File: arbiter_tb.v , 14
-  Text: gnt3  ...
-enterPort_reference
-  File: arbiter_tb.v , 14
-  Text: gnt3  ...
-enterIdentifier
-  File: arbiter_tb.v , 14
-  Text: gnt3  ...
-enterConstant_select
-  File: arbiter_tb.v , 14
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 14
-  Text:  ...
-enterPort
-  File: arbiter_tb.v , 15
-  Text: gnt2  ...
-enterPort_expression
-  File: arbiter_tb.v , 15
-  Text: gnt2  ...
-enterPort_reference
-  File: arbiter_tb.v , 15
-  Text: gnt2  ...
-enterIdentifier
-  File: arbiter_tb.v , 15
-  Text: gnt2  ...
-enterConstant_select
-  File: arbiter_tb.v , 15
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 15
-  Text:  ...
-enterPort
-  File: arbiter_tb.v , 16
-  Text: gnt1  ...
-enterPort_expression
-  File: arbiter_tb.v , 16
-  Text: gnt1  ...
-enterPort_reference
-  File: arbiter_tb.v , 16
-  Text: gnt1  ...
-enterIdentifier
-  File: arbiter_tb.v , 16
-  Text: gnt1  ...
-enterConstant_select
-  File: arbiter_tb.v , 16
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 16
-  Text:  ...
-enterPort
-  File: arbiter_tb.v , 17
-  Text: gnt0  ...
-enterPort_expression
-  File: arbiter_tb.v , 17
-  Text: gnt0  ...
-enterPort_reference
-  File: arbiter_tb.v , 17
-  Text: gnt0  ...
-enterIdentifier
-  File: arbiter_tb.v , 17
-  Text: gnt0  ...
-enterConstant_select
-  File: arbiter_tb.v , 18
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 18
-  Text:  ...
-enterModule_item
-  File: arbiter_tb.v , 20
-  Text: input clk ;  ...
-enterPort_declaration
-  File: arbiter_tb.v , 20
-  Text: input clk  ...
-enterInput_declaration
-  File: arbiter_tb.v , 20
-  Text: input clk  ...
-enterNet_port_type
-  File: arbiter_tb.v , 20
-  Text:  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 20
-  Text:  ...
-enterList_of_port_identifiers
-  File: arbiter_tb.v , 20
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 20
-  Text: clk  ...
-enterModule_item
-  File: arbiter_tb.v , 21
-  Text: input rst ;  ...
-enterPort_declaration
-  File: arbiter_tb.v , 21
-  Text: input rst  ...
-enterInput_declaration
-  File: arbiter_tb.v , 21
-  Text: input rst  ...
-enterNet_port_type
-  File: arbiter_tb.v , 21
-  Text:  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 21
-  Text:  ...
-enterList_of_port_identifiers
-  File: arbiter_tb.v , 21
-  Text: rst  ...
-enterIdentifier
-  File: arbiter_tb.v , 21
-  Text: rst  ...
-enterModule_item
-  File: arbiter_tb.v , 22
-  Text: input req3 ;  ...
-enterPort_declaration
-  File: arbiter_tb.v , 22
-  Text: input req3  ...
-enterInput_declaration
-  File: arbiter_tb.v , 22
-  Text: input req3  ...
-enterNet_port_type
-  File: arbiter_tb.v , 22
-  Text:  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 22
-  Text:  ...
-enterList_of_port_identifiers
-  File: arbiter_tb.v , 22
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 22
-  Text: req3  ...
-enterModule_item
-  File: arbiter_tb.v , 23
-  Text: input req2 ;  ...
-enterPort_declaration
-  File: arbiter_tb.v , 23
-  Text: input req2  ...
-enterInput_declaration
-  File: arbiter_tb.v , 23
-  Text: input req2  ...
-enterNet_port_type
-  File: arbiter_tb.v , 23
-  Text:  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 23
-  Text:  ...
-enterList_of_port_identifiers
-  File: arbiter_tb.v , 23
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 23
-  Text: req2  ...
-enterModule_item
-  File: arbiter_tb.v , 24
-  Text: input req1 ;  ...
-enterPort_declaration
-  File: arbiter_tb.v , 24
-  Text: input req1  ...
-enterInput_declaration
-  File: arbiter_tb.v , 24
-  Text: input req1  ...
-enterNet_port_type
-  File: arbiter_tb.v , 24
-  Text:  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 24
-  Text:  ...
-enterList_of_port_identifiers
-  File: arbiter_tb.v , 24
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 24
-  Text: req1  ...
-enterModule_item
-  File: arbiter_tb.v , 25
-  Text: input req0 ;  ...
-enterPort_declaration
-  File: arbiter_tb.v , 25
-  Text: input req0  ...
-enterInput_declaration
-  File: arbiter_tb.v , 25
-  Text: input req0  ...
-enterNet_port_type
-  File: arbiter_tb.v , 25
-  Text:  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 25
-  Text:  ...
-enterList_of_port_identifiers
-  File: arbiter_tb.v , 25
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 25
-  Text: req0  ...
-enterModule_item
-  File: arbiter_tb.v , 26
-  Text: output gnt3 ;  ...
-enterPort_declaration
-  File: arbiter_tb.v , 26
-  Text: output gnt3  ...
-enterOutput_declaration
-  File: arbiter_tb.v , 26
-  Text: output gnt3  ...
-enterNet_port_type
-  File: arbiter_tb.v , 26
-  Text:  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 26
-  Text:  ...
-enterList_of_port_identifiers
-  File: arbiter_tb.v , 26
-  Text: gnt3  ...
-enterIdentifier
-  File: arbiter_tb.v , 26
-  Text: gnt3  ...
-enterModule_item
-  File: arbiter_tb.v , 27
-  Text: output gnt2 ;  ...
-enterPort_declaration
-  File: arbiter_tb.v , 27
-  Text: output gnt2  ...
-enterOutput_declaration
-  File: arbiter_tb.v , 27
-  Text: output gnt2  ...
-enterNet_port_type
-  File: arbiter_tb.v , 27
-  Text:  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 27
-  Text:  ...
-enterList_of_port_identifiers
-  File: arbiter_tb.v , 27
-  Text: gnt2  ...
-enterIdentifier
-  File: arbiter_tb.v , 27
-  Text: gnt2  ...
-enterModule_item
-  File: arbiter_tb.v , 28
-  Text: output gnt1 ;  ...
-enterPort_declaration
-  File: arbiter_tb.v , 28
-  Text: output gnt1  ...
-enterOutput_declaration
-  File: arbiter_tb.v , 28
-  Text: output gnt1  ...
-enterNet_port_type
-  File: arbiter_tb.v , 28
-  Text:  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 28
-  Text:  ...
-enterList_of_port_identifiers
-  File: arbiter_tb.v , 28
-  Text: gnt1  ...
-enterIdentifier
-  File: arbiter_tb.v , 28
-  Text: gnt1  ...
-enterModule_item
-  File: arbiter_tb.v , 29
-  Text: output gnt0 ;  ...
-enterPort_declaration
-  File: arbiter_tb.v , 29
-  Text: output gnt0  ...
-enterOutput_declaration
-  File: arbiter_tb.v , 29
-  Text: output gnt0  ...
-enterNet_port_type
-  File: arbiter_tb.v , 29
-  Text:  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 29
-  Text:  ...
-enterList_of_port_identifiers
-  File: arbiter_tb.v , 29
-  Text: gnt0  ...
-enterIdentifier
-  File: arbiter_tb.v , 29
-  Text: gnt0  ...
-enterModule_item
-  File: arbiter_tb.v , 32
-  Text: wire [ 1 : 0 ] gnt ; ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 32
-  Text: wire [ 1 : 0 ] gnt ; ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 32
-  Text: wire [ 1 : 0 ] gnt ; ...
-enterModule_common_item
-  File: arbiter_tb.v , 32
-  Text: wire [ 1 : 0 ] gnt ; ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 32
-  Text: wire [ 1 : 0 ] gnt ; ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 32
-  Text: wire [ 1 : 0 ] gnt ; ...
-enterNet_declaration
-  File: arbiter_tb.v , 32
-  Text: wire [ 1 : 0 ] gnt ; ...
-enterNetType_Wire
-  File: arbiter_tb.v , 32
-  Text: wire  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 32
-  Text: [ 1 : 0 ]  ...
-enterPacked_dimension
-  File: arbiter_tb.v , 32
-  Text: [ 1 : 0 ]  ...
-enterConstant_range
-  File: arbiter_tb.v , 32
-  Text: 1 : 0  ...
-enterConstant_expression
-  File: arbiter_tb.v , 32
-  Text: 1  ...
-enterConstant_primary
-  File: arbiter_tb.v , 32
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 32
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 32
-  Text: 1  ...
-enterConstant_expression
-  File: arbiter_tb.v , 32
-  Text: 0  ...
-enterConstant_primary
-  File: arbiter_tb.v , 32
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 32
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 32
-  Text: 0  ...
-enterList_of_net_decl_assignments
-  File: arbiter_tb.v , 32
-  Text: gnt  ...
-enterNet_decl_assignment
-  File: arbiter_tb.v , 32
-  Text: gnt  ...
-enterIdentifier
-  File: arbiter_tb.v , 32
-  Text: gnt  ...
-enterModule_item
-  File: arbiter_tb.v , 33
-  Text: wire comreq ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 33
-  Text: wire comreq ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 33
-  Text: wire comreq ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 33
-  Text: wire comreq ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 33
-  Text: wire comreq ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 33
-  Text: wire comreq ;  ...
-enterNet_declaration
-  File: arbiter_tb.v , 33
-  Text: wire comreq ;  ...
-enterNetType_Wire
-  File: arbiter_tb.v , 33
-  Text: wire  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 33
-  Text:  ...
-enterList_of_net_decl_assignments
-  File: arbiter_tb.v , 33
-  Text: comreq  ...
-enterNet_decl_assignment
-  File: arbiter_tb.v , 33
-  Text: comreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 33
-  Text: comreq  ...
-enterModule_item
-  File: arbiter_tb.v , 34
-  Text: wire beg ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 34
-  Text: wire beg ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 34
-  Text: wire beg ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 34
-  Text: wire beg ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 34
-  Text: wire beg ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 34
-  Text: wire beg ;  ...
-enterNet_declaration
-  File: arbiter_tb.v , 34
-  Text: wire beg ;  ...
-enterNetType_Wire
-  File: arbiter_tb.v , 34
-  Text: wire  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 34
-  Text:  ...
-enterList_of_net_decl_assignments
-  File: arbiter_tb.v , 34
-  Text: beg  ...
-enterNet_decl_assignment
-  File: arbiter_tb.v , 34
-  Text: beg  ...
-enterIdentifier
-  File: arbiter_tb.v , 34
-  Text: beg  ...
-enterModule_item
-  File: arbiter_tb.v , 35
-  Text: wire [ 1 : 0 ] lgnt  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 35
-  Text: wire [ 1 : 0 ] lgnt  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 35
-  Text: wire [ 1 : 0 ] lgnt  ...
-enterModule_common_item
-  File: arbiter_tb.v , 35
-  Text: wire [ 1 : 0 ] lgnt  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 35
-  Text: wire [ 1 : 0 ] lgnt  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 35
-  Text: wire [ 1 : 0 ] lgnt  ...
-enterNet_declaration
-  File: arbiter_tb.v , 35
-  Text: wire [ 1 : 0 ] lgnt  ...
-enterNetType_Wire
-  File: arbiter_tb.v , 35
-  Text: wire  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 35
-  Text: [ 1 : 0 ]  ...
-enterPacked_dimension
-  File: arbiter_tb.v , 35
-  Text: [ 1 : 0 ]  ...
-enterConstant_range
-  File: arbiter_tb.v , 35
-  Text: 1 : 0  ...
-enterConstant_expression
-  File: arbiter_tb.v , 35
-  Text: 1  ...
-enterConstant_primary
-  File: arbiter_tb.v , 35
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 35
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 35
-  Text: 1  ...
-enterConstant_expression
-  File: arbiter_tb.v , 35
-  Text: 0  ...
-enterConstant_primary
-  File: arbiter_tb.v , 35
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 35
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 35
-  Text: 0  ...
-enterList_of_net_decl_assignments
-  File: arbiter_tb.v , 35
-  Text: lgnt  ...
-enterNet_decl_assignment
-  File: arbiter_tb.v , 35
-  Text: lgnt  ...
-enterIdentifier
-  File: arbiter_tb.v , 35
-  Text: lgnt  ...
-enterModule_item
-  File: arbiter_tb.v , 36
-  Text: wire lcomreq ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 36
-  Text: wire lcomreq ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 36
-  Text: wire lcomreq ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 36
-  Text: wire lcomreq ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 36
-  Text: wire lcomreq ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 36
-  Text: wire lcomreq ;  ...
-enterNet_declaration
-  File: arbiter_tb.v , 36
-  Text: wire lcomreq ;  ...
-enterNetType_Wire
-  File: arbiter_tb.v , 36
-  Text: wire  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 36
-  Text:  ...
-enterList_of_net_decl_assignments
-  File: arbiter_tb.v , 36
-  Text: lcomreq  ...
-enterNet_decl_assignment
-  File: arbiter_tb.v , 36
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 36
-  Text: lcomreq  ...
-enterModule_item
-  File: arbiter_tb.v , 37
-  Text: reg lgnt0 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 37
-  Text: reg lgnt0 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 37
-  Text: reg lgnt0 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 37
-  Text: reg lgnt0 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 37
-  Text: reg lgnt0 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 37
-  Text: reg lgnt0 ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 37
-  Text: reg lgnt0 ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 37
-  Text: reg lgnt0 ;  ...
-enterData_type
-  File: arbiter_tb.v , 37
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 37
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 37
-  Text: lgnt0  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 37
-  Text: lgnt0  ...
-enterIdentifier
-  File: arbiter_tb.v , 37
-  Text: lgnt0  ...
-enterModule_item
-  File: arbiter_tb.v , 38
-  Text: reg lgnt1 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 38
-  Text: reg lgnt1 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 38
-  Text: reg lgnt1 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 38
-  Text: reg lgnt1 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 38
-  Text: reg lgnt1 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 38
-  Text: reg lgnt1 ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 38
-  Text: reg lgnt1 ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 38
-  Text: reg lgnt1 ;  ...
-enterData_type
-  File: arbiter_tb.v , 38
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 38
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 38
-  Text: lgnt1  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 38
-  Text: lgnt1  ...
-enterIdentifier
-  File: arbiter_tb.v , 38
-  Text: lgnt1  ...
-enterModule_item
-  File: arbiter_tb.v , 39
-  Text: reg lgnt2 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 39
-  Text: reg lgnt2 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 39
-  Text: reg lgnt2 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 39
-  Text: reg lgnt2 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 39
-  Text: reg lgnt2 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 39
-  Text: reg lgnt2 ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 39
-  Text: reg lgnt2 ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 39
-  Text: reg lgnt2 ;  ...
-enterData_type
-  File: arbiter_tb.v , 39
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 39
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 39
-  Text: lgnt2  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 39
-  Text: lgnt2  ...
-enterIdentifier
-  File: arbiter_tb.v , 39
-  Text: lgnt2  ...
-enterModule_item
-  File: arbiter_tb.v , 40
-  Text: reg lgnt3 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 40
-  Text: reg lgnt3 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 40
-  Text: reg lgnt3 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 40
-  Text: reg lgnt3 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 40
-  Text: reg lgnt3 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 40
-  Text: reg lgnt3 ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 40
-  Text: reg lgnt3 ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 40
-  Text: reg lgnt3 ;  ...
-enterData_type
-  File: arbiter_tb.v , 40
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 40
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 40
-  Text: lgnt3  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 40
-  Text: lgnt3  ...
-enterIdentifier
-  File: arbiter_tb.v , 40
-  Text: lgnt3  ...
-enterModule_item
-  File: arbiter_tb.v , 41
-  Text: reg lasmask ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 41
-  Text: reg lasmask ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 41
-  Text: reg lasmask ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 41
-  Text: reg lasmask ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 41
-  Text: reg lasmask ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 41
-  Text: reg lasmask ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 41
-  Text: reg lasmask ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 41
-  Text: reg lasmask ;  ...
-enterData_type
-  File: arbiter_tb.v , 41
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 41
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 41
-  Text: lasmask  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 41
-  Text: lasmask  ...
-enterIdentifier
-  File: arbiter_tb.v , 41
-  Text: lasmask  ...
-enterModule_item
-  File: arbiter_tb.v , 42
-  Text: reg lmask0 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 42
-  Text: reg lmask0 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 42
-  Text: reg lmask0 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 42
-  Text: reg lmask0 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 42
-  Text: reg lmask0 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 42
-  Text: reg lmask0 ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 42
-  Text: reg lmask0 ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 42
-  Text: reg lmask0 ;  ...
-enterData_type
-  File: arbiter_tb.v , 42
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 42
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 42
-  Text: lmask0  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 42
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 42
-  Text: lmask0  ...
-enterModule_item
-  File: arbiter_tb.v , 43
-  Text: reg lmask1 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 43
-  Text: reg lmask1 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 43
-  Text: reg lmask1 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 43
-  Text: reg lmask1 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 43
-  Text: reg lmask1 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 43
-  Text: reg lmask1 ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 43
-  Text: reg lmask1 ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 43
-  Text: reg lmask1 ;  ...
-enterData_type
-  File: arbiter_tb.v , 43
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 43
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 43
-  Text: lmask1  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 43
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 43
-  Text: lmask1  ...
-enterModule_item
-  File: arbiter_tb.v , 44
-  Text: reg ledge ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 44
-  Text: reg ledge ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 44
-  Text: reg ledge ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 44
-  Text: reg ledge ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 44
-  Text: reg ledge ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 44
-  Text: reg ledge ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 44
-  Text: reg ledge ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 44
-  Text: reg ledge ;  ...
-enterData_type
-  File: arbiter_tb.v , 44
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 44
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 44
-  Text: ledge  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 44
-  Text: ledge  ...
-enterIdentifier
-  File: arbiter_tb.v , 44
-  Text: ledge  ...
-enterModule_item
-  File: arbiter_tb.v , 47
-  Text: always @ ( posedge c ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 47
-  Text: always @ ( posedge c ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 47
-  Text: always @ ( posedge c ...
-enterModule_common_item
-  File: arbiter_tb.v , 47
-  Text: always @ ( posedge c ...
-enterAlways_construct
-  File: arbiter_tb.v , 47
-  Text: always @ ( posedge c ...
-enterAlwaysKeywd_Always
-  File: arbiter_tb.v , 47
-  Text: always  ...
-enterStatement
-  File: arbiter_tb.v , 47
-  Text: @ ( posedge clk ) if ...
-enterStatement_item
-  File: arbiter_tb.v , 47
-  Text: @ ( posedge clk ) if ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 47
-  Text: @ ( posedge clk ) if ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 47
-  Text: @ ( posedge clk )  ...
-enterEvent_control
-  File: arbiter_tb.v , 47
-  Text: @ ( posedge clk )  ...
-enterEvent_expression
-  File: arbiter_tb.v , 47
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: arbiter_tb.v , 47
-  Text: posedge  ...
-enterExpression
-  File: arbiter_tb.v , 47
-  Text: clk  ...
-enterPrimary
-  File: arbiter_tb.v , 47
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 47
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 47
-  Text: clk  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 48
-  Text: if ( rst ) begin lgn ...
-enterStatement
-  File: arbiter_tb.v , 48
-  Text: if ( rst ) begin lgn ...
-enterStatement_item
-  File: arbiter_tb.v , 48
-  Text: if ( rst ) begin lgn ...
-enterConditional_statement
-  File: arbiter_tb.v , 48
-  Text: if ( rst ) begin lgn ...
-enterCond_predicate
-  File: arbiter_tb.v , 48
-  Text: rst  ...
-enterExpression_or_cond_pattern
-  File: arbiter_tb.v , 48
-  Text: rst  ...
-enterExpression
-  File: arbiter_tb.v , 48
-  Text: rst  ...
-enterPrimary
-  File: arbiter_tb.v , 48
-  Text: rst  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 48
-  Text: rst  ...
-enterIdentifier
-  File: arbiter_tb.v , 48
-  Text: rst  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 48
-  Text: begin lgnt0 <= 0 ; l ...
-enterStatement
-  File: arbiter_tb.v , 48
-  Text: begin lgnt0 <= 0 ; l ...
-enterStatement_item
-  File: arbiter_tb.v , 48
-  Text: begin lgnt0 <= 0 ; l ...
-enterSeq_block
-  File: arbiter_tb.v , 48
-  Text: begin lgnt0 <= 0 ; l ...
-enterStatement_or_null
-  File: arbiter_tb.v , 49
-  Text: lgnt0 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 49
-  Text: lgnt0 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 49
-  Text: lgnt0 <= 0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 49
-  Text: lgnt0 <= 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 49
-  Text: lgnt0  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 49
-  Text: lgnt0  ...
-enterSelect
-  File: arbiter_tb.v , 49
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 49
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 49
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 49
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 49
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 49
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 50
-  Text: lgnt1 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 50
-  Text: lgnt1 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 50
-  Text: lgnt1 <= 0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 50
-  Text: lgnt1 <= 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 50
-  Text: lgnt1  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 50
-  Text: lgnt1  ...
-enterSelect
-  File: arbiter_tb.v , 50
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 50
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 50
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 50
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 50
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 50
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 51
-  Text: lgnt2 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 51
-  Text: lgnt2 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 51
-  Text: lgnt2 <= 0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 51
-  Text: lgnt2 <= 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 51
-  Text: lgnt2  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 51
-  Text: lgnt2  ...
-enterSelect
-  File: arbiter_tb.v , 51
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 51
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 51
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 51
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 51
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 51
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 52
-  Text: lgnt3 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 52
-  Text: lgnt3 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 52
-  Text: lgnt3 <= 0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 52
-  Text: lgnt3 <= 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 52
-  Text: lgnt3  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 52
-  Text: lgnt3  ...
-enterSelect
-  File: arbiter_tb.v , 52
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 52
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 52
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 52
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 52
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 52
-  Text: 0  ...
-enterEnd
-  File: arbiter_tb.v , 53
-  Text: end  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 53
-  Text: begin lgnt0 <= ( ~ l ...
-enterStatement
-  File: arbiter_tb.v , 53
-  Text: begin lgnt0 <= ( ~ l ...
-enterStatement_item
-  File: arbiter_tb.v , 53
-  Text: begin lgnt0 <= ( ~ l ...
-enterSeq_block
-  File: arbiter_tb.v , 53
-  Text: begin lgnt0 <= ( ~ l ...
-enterStatement_or_null
-  File: arbiter_tb.v , 54
-  Text: lgnt0 <= ( ~ lcomreq ...
-enterStatement
-  File: arbiter_tb.v , 54
-  Text: lgnt0 <= ( ~ lcomreq ...
-enterStatement_item
-  File: arbiter_tb.v , 54
-  Text: lgnt0 <= ( ~ lcomreq ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 54
-  Text: lgnt0 <= ( ~ lcomreq ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 54
-  Text: lgnt0  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 54
-  Text: lgnt0  ...
-enterSelect
-  File: arbiter_tb.v , 54
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 54
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ( ~ lcomreq & ~ lmas ...
-enterPrimary
-  File: arbiter_tb.v , 54
-  Text: ( ~ lcomreq & ~ lmas ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 54
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 54
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 54
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 54
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 54
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 54
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ lmask1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 54
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 54
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 54
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 54
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 54
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ lmask0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 54
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 54
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 54
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 54
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 54
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ req3  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 54
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 54
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 54
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 54
-  Text: req3  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 54
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ req2  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 54
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 54
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 54
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 54
-  Text: req2  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 54
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: ~ req1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 54
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 54
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 54
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 54
-  Text: req1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 54
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 54
-  Text: req0  ...
-enterPrimary
-  File: arbiter_tb.v , 54
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 54
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 54
-  Text: req0  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 55
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: ( ~ lcomreq & ~ lmas ...
-enterPrimary
-  File: arbiter_tb.v , 55
-  Text: ( ~ lcomreq & ~ lmas ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 55
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 55
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 55
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 55
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 55
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 55
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: ~ lmask1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 55
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 55
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 55
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 55
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 55
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: lmask0  ...
-enterPrimary
-  File: arbiter_tb.v , 55
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 55
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 55
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 55
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: ~ req3  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 55
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 55
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 55
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 55
-  Text: req3  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 55
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: ~ req2  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 55
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 55
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 55
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 55
-  Text: req2  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 55
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 55
-  Text: req0  ...
-enterPrimary
-  File: arbiter_tb.v , 55
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 55
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 55
-  Text: req0  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 56
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 56
-  Text: ( ~ lcomreq & lmask1 ...
-enterPrimary
-  File: arbiter_tb.v , 56
-  Text: ( ~ lcomreq & lmask1 ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 56
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 56
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 56
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 56
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 56
-  Text: ~ lcomreq & lmask1  ...
-enterExpression
-  File: arbiter_tb.v , 56
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 56
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 56
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 56
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 56
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 56
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 56
-  Text: lmask1  ...
-enterPrimary
-  File: arbiter_tb.v , 56
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 56
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 56
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 56
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 56
-  Text: ~ lmask0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 56
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 56
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 56
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 56
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 56
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 56
-  Text: ~ req3  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 56
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 56
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 56
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 56
-  Text: req3  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 56
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 56
-  Text: req0  ...
-enterPrimary
-  File: arbiter_tb.v , 56
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 56
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 56
-  Text: req0  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 57
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 57
-  Text: ( ~ lcomreq & lmask1 ...
-enterPrimary
-  File: arbiter_tb.v , 57
-  Text: ( ~ lcomreq & lmask1 ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 57
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 57
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 57
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 57
-  Text: ~ lcomreq & lmask1  ...
-enterExpression
-  File: arbiter_tb.v , 57
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 57
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 57
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 57
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 57
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 57
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 57
-  Text: lmask1  ...
-enterPrimary
-  File: arbiter_tb.v , 57
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 57
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 57
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 57
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 57
-  Text: lmask0  ...
-enterPrimary
-  File: arbiter_tb.v , 57
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 57
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 57
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 57
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 57
-  Text: req0  ...
-enterPrimary
-  File: arbiter_tb.v , 57
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 57
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 57
-  Text: req0  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 58
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 58
-  Text: ( lcomreq & lgnt0 )  ...
-enterPrimary
-  File: arbiter_tb.v , 58
-  Text: ( lcomreq & lgnt0 )  ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 58
-  Text: lcomreq & lgnt0  ...
-enterExpression
-  File: arbiter_tb.v , 58
-  Text: lcomreq & lgnt0  ...
-enterExpression
-  File: arbiter_tb.v , 58
-  Text: lcomreq  ...
-enterPrimary
-  File: arbiter_tb.v , 58
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 58
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 58
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 58
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 58
-  Text: lgnt0  ...
-enterPrimary
-  File: arbiter_tb.v , 58
-  Text: lgnt0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 58
-  Text: lgnt0  ...
-enterIdentifier
-  File: arbiter_tb.v , 58
-  Text: lgnt0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 59
-  Text: lgnt1 <= ( ~ lcomreq ...
-enterStatement
-  File: arbiter_tb.v , 59
-  Text: lgnt1 <= ( ~ lcomreq ...
-enterStatement_item
-  File: arbiter_tb.v , 59
-  Text: lgnt1 <= ( ~ lcomreq ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 59
-  Text: lgnt1 <= ( ~ lcomreq ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 59
-  Text: lgnt1  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 59
-  Text: lgnt1  ...
-enterSelect
-  File: arbiter_tb.v , 59
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 59
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: ( ~ lcomreq & ~ lmas ...
-enterPrimary
-  File: arbiter_tb.v , 59
-  Text: ( ~ lcomreq & ~ lmas ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 59
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 59
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 59
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 59
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 59
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 59
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: ~ lmask1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 59
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 59
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 59
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 59
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 59
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: ~ lmask0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 59
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 59
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 59
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 59
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 59
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 59
-  Text: req1  ...
-enterPrimary
-  File: arbiter_tb.v , 59
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 59
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 59
-  Text: req1  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 60
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ( ~ lcomreq & ~ lmas ...
-enterPrimary
-  File: arbiter_tb.v , 60
-  Text: ( ~ lcomreq & ~ lmas ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 60
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 60
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 60
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 60
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 60
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 60
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ~ lmask1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 60
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 60
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 60
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 60
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 60
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: lmask0  ...
-enterPrimary
-  File: arbiter_tb.v , 60
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 60
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 60
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 60
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ~ req3  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 60
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 60
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 60
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 60
-  Text: req3  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 60
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ~ req2  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 60
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 60
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 60
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 60
-  Text: req2  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 60
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: req1  ...
-enterPrimary
-  File: arbiter_tb.v , 60
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 60
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 60
-  Text: req1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 60
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 60
-  Text: ~ req0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 60
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 60
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 60
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 60
-  Text: req0  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 61
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: ( ~ lcomreq & lmask1 ...
-enterPrimary
-  File: arbiter_tb.v , 61
-  Text: ( ~ lcomreq & lmask1 ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 61
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: ~ lcomreq & lmask1  ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 61
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 61
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 61
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 61
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 61
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: lmask1  ...
-enterPrimary
-  File: arbiter_tb.v , 61
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 61
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 61
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 61
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: ~ lmask0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 61
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 61
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 61
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 61
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 61
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: ~ req3  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 61
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 61
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 61
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 61
-  Text: req3  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 61
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: req1  ...
-enterPrimary
-  File: arbiter_tb.v , 61
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 61
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 61
-  Text: req1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 61
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 61
-  Text: ~ req0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 61
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 61
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 61
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 61
-  Text: req0  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 62
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 62
-  Text: ( ~ lcomreq & lmask1 ...
-enterPrimary
-  File: arbiter_tb.v , 62
-  Text: ( ~ lcomreq & lmask1 ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 62
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 62
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 62
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 62
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 62
-  Text: ~ lcomreq & lmask1  ...
-enterExpression
-  File: arbiter_tb.v , 62
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 62
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 62
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 62
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 62
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 62
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 62
-  Text: lmask1  ...
-enterPrimary
-  File: arbiter_tb.v , 62
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 62
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 62
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 62
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 62
-  Text: lmask0  ...
-enterPrimary
-  File: arbiter_tb.v , 62
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 62
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 62
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 62
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 62
-  Text: req1  ...
-enterPrimary
-  File: arbiter_tb.v , 62
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 62
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 62
-  Text: req1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 62
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 62
-  Text: ~ req0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 62
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 62
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 62
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 62
-  Text: req0  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 63
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 63
-  Text: ( lcomreq & lgnt1 )  ...
-enterPrimary
-  File: arbiter_tb.v , 63
-  Text: ( lcomreq & lgnt1 )  ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 63
-  Text: lcomreq & lgnt1  ...
-enterExpression
-  File: arbiter_tb.v , 63
-  Text: lcomreq & lgnt1  ...
-enterExpression
-  File: arbiter_tb.v , 63
-  Text: lcomreq  ...
-enterPrimary
-  File: arbiter_tb.v , 63
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 63
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 63
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 63
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 63
-  Text: lgnt1  ...
-enterPrimary
-  File: arbiter_tb.v , 63
-  Text: lgnt1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 63
-  Text: lgnt1  ...
-enterIdentifier
-  File: arbiter_tb.v , 63
-  Text: lgnt1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 64
-  Text: lgnt2 <= ( ~ lcomreq ...
-enterStatement
-  File: arbiter_tb.v , 64
-  Text: lgnt2 <= ( ~ lcomreq ...
-enterStatement_item
-  File: arbiter_tb.v , 64
-  Text: lgnt2 <= ( ~ lcomreq ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 64
-  Text: lgnt2 <= ( ~ lcomreq ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 64
-  Text: lgnt2  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 64
-  Text: lgnt2  ...
-enterSelect
-  File: arbiter_tb.v , 64
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 64
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ( ~ lcomreq & ~ lmas ...
-enterPrimary
-  File: arbiter_tb.v , 64
-  Text: ( ~ lcomreq & ~ lmas ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 64
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 64
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 64
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 64
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 64
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 64
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ~ lmask1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 64
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 64
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 64
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 64
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 64
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ~ lmask0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 64
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 64
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 64
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 64
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 64
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: req2  ...
-enterPrimary
-  File: arbiter_tb.v , 64
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 64
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 64
-  Text: req2  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 64
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 64
-  Text: ~ req1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 64
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 64
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 64
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 64
-  Text: req1  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 65
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 65
-  Text: ( ~ lcomreq & ~ lmas ...
-enterPrimary
-  File: arbiter_tb.v , 65
-  Text: ( ~ lcomreq & ~ lmas ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 65
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 65
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 65
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 65
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 65
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 65
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 65
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 65
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 65
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 65
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 65
-  Text: ~ lmask1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 65
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 65
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 65
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 65
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 65
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 65
-  Text: lmask0  ...
-enterPrimary
-  File: arbiter_tb.v , 65
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 65
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 65
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 65
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 65
-  Text: req2  ...
-enterPrimary
-  File: arbiter_tb.v , 65
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 65
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 65
-  Text: req2  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 66
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ( ~ lcomreq & lmask1 ...
-enterPrimary
-  File: arbiter_tb.v , 66
-  Text: ( ~ lcomreq & lmask1 ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 66
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ~ lcomreq & lmask1  ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 66
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 66
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 66
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 66
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 66
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: lmask1  ...
-enterPrimary
-  File: arbiter_tb.v , 66
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 66
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 66
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 66
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ~ lmask0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 66
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 66
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 66
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 66
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 66
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ~ req3  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 66
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 66
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 66
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 66
-  Text: req3  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 66
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: req2  ...
-enterPrimary
-  File: arbiter_tb.v , 66
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 66
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 66
-  Text: req2  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 66
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ~ req1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 66
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 66
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 66
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 66
-  Text: req1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 66
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 66
-  Text: ~ req0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 66
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 66
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 66
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 66
-  Text: req0  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 67
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: ( ~ lcomreq & lmask1 ...
-enterPrimary
-  File: arbiter_tb.v , 67
-  Text: ( ~ lcomreq & lmask1 ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 67
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: ~ lcomreq & lmask1  ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 67
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 67
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 67
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 67
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 67
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: lmask1  ...
-enterPrimary
-  File: arbiter_tb.v , 67
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 67
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 67
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 67
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: lmask0  ...
-enterPrimary
-  File: arbiter_tb.v , 67
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 67
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 67
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 67
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: req2  ...
-enterPrimary
-  File: arbiter_tb.v , 67
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 67
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 67
-  Text: req2  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 67
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: ~ req1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 67
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 67
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 67
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 67
-  Text: req1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 67
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 67
-  Text: ~ req0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 67
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 67
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 67
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 67
-  Text: req0  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 68
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 68
-  Text: ( lcomreq & lgnt2 )  ...
-enterPrimary
-  File: arbiter_tb.v , 68
-  Text: ( lcomreq & lgnt2 )  ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 68
-  Text: lcomreq & lgnt2  ...
-enterExpression
-  File: arbiter_tb.v , 68
-  Text: lcomreq & lgnt2  ...
-enterExpression
-  File: arbiter_tb.v , 68
-  Text: lcomreq  ...
-enterPrimary
-  File: arbiter_tb.v , 68
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 68
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 68
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 68
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 68
-  Text: lgnt2  ...
-enterPrimary
-  File: arbiter_tb.v , 68
-  Text: lgnt2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 68
-  Text: lgnt2  ...
-enterIdentifier
-  File: arbiter_tb.v , 68
-  Text: lgnt2  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 69
-  Text: lgnt3 <= ( ~ lcomreq ...
-enterStatement
-  File: arbiter_tb.v , 69
-  Text: lgnt3 <= ( ~ lcomreq ...
-enterStatement_item
-  File: arbiter_tb.v , 69
-  Text: lgnt3 <= ( ~ lcomreq ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 69
-  Text: lgnt3 <= ( ~ lcomreq ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 69
-  Text: lgnt3  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 69
-  Text: lgnt3  ...
-enterSelect
-  File: arbiter_tb.v , 69
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 69
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ( ~ lcomreq & ~ lmas ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ( ~ lcomreq & ~ lmas ...
-enterPrimary
-  File: arbiter_tb.v , 69
-  Text: ( ~ lcomreq & ~ lmas ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 69
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 69
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 69
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 69
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 69
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 69
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ~ lmask1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 69
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 69
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 69
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 69
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 69
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ~ lmask0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 69
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 69
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 69
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 69
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 69
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: req3  ...
-enterPrimary
-  File: arbiter_tb.v , 69
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 69
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 69
-  Text: req3  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 69
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ~ req2  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 69
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 69
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 69
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 69
-  Text: req2  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 69
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 69
-  Text: ~ req1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 69
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 69
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 69
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 69
-  Text: req1  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 70
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 70
-  Text: ( ~ lcomreq & ~ lmas ...
-enterPrimary
-  File: arbiter_tb.v , 70
-  Text: ( ~ lcomreq & ~ lmas ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 70
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 70
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 70
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 70
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 70
-  Text: ~ lcomreq & ~ lmask1 ...
-enterExpression
-  File: arbiter_tb.v , 70
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 70
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 70
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 70
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 70
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 70
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 70
-  Text: ~ lmask1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 70
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 70
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 70
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 70
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 70
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 70
-  Text: lmask0  ...
-enterPrimary
-  File: arbiter_tb.v , 70
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 70
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 70
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 70
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 70
-  Text: req3  ...
-enterPrimary
-  File: arbiter_tb.v , 70
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 70
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 70
-  Text: req3  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 70
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 70
-  Text: ~ req2  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 70
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 70
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 70
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 70
-  Text: req2  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 71
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 71
-  Text: ( ~ lcomreq & lmask1 ...
-enterPrimary
-  File: arbiter_tb.v , 71
-  Text: ( ~ lcomreq & lmask1 ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 71
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 71
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 71
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 71
-  Text: ~ lcomreq & lmask1  ...
-enterExpression
-  File: arbiter_tb.v , 71
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 71
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 71
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 71
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 71
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 71
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 71
-  Text: lmask1  ...
-enterPrimary
-  File: arbiter_tb.v , 71
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 71
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 71
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 71
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 71
-  Text: ~ lmask0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 71
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 71
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 71
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 71
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 71
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 71
-  Text: req3  ...
-enterPrimary
-  File: arbiter_tb.v , 71
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 71
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 71
-  Text: req3  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 72
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: ( ~ lcomreq & lmask1 ...
-enterPrimary
-  File: arbiter_tb.v , 72
-  Text: ( ~ lcomreq & lmask1 ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 72
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: ~ lcomreq & lmask1 & ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: ~ lcomreq & lmask1  ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 72
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 72
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 72
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 72
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 72
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: lmask1  ...
-enterPrimary
-  File: arbiter_tb.v , 72
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 72
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 72
-  Text: lmask1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 72
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: lmask0  ...
-enterPrimary
-  File: arbiter_tb.v , 72
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 72
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 72
-  Text: lmask0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 72
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: req3  ...
-enterPrimary
-  File: arbiter_tb.v , 72
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 72
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 72
-  Text: req3  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 72
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: ~ req2  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 72
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 72
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 72
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 72
-  Text: req2  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 72
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: ~ req1  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 72
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 72
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 72
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 72
-  Text: req1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 72
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 72
-  Text: ~ req0  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 72
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 72
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 72
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 72
-  Text: req0  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 73
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 73
-  Text: ( lcomreq & lgnt3 )  ...
-enterPrimary
-  File: arbiter_tb.v , 73
-  Text: ( lcomreq & lgnt3 )  ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 73
-  Text: lcomreq & lgnt3  ...
-enterExpression
-  File: arbiter_tb.v , 73
-  Text: lcomreq & lgnt3  ...
-enterExpression
-  File: arbiter_tb.v , 73
-  Text: lcomreq  ...
-enterPrimary
-  File: arbiter_tb.v , 73
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 73
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 73
-  Text: lcomreq  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 73
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 73
-  Text: lgnt3  ...
-enterPrimary
-  File: arbiter_tb.v , 73
-  Text: lgnt3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 73
-  Text: lgnt3  ...
-enterIdentifier
-  File: arbiter_tb.v , 73
-  Text: lgnt3  ...
-enterEnd
-  File: arbiter_tb.v , 74
-  Text: end  ...
-enterModule_item
-  File: arbiter_tb.v , 79
-  Text: assign beg = ( req3  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 79
-  Text: assign beg = ( req3  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 79
-  Text: assign beg = ( req3  ...
-enterModule_common_item
-  File: arbiter_tb.v , 79
-  Text: assign beg = ( req3  ...
-enterContinuous_assign
-  File: arbiter_tb.v , 79
-  Text: assign beg = ( req3  ...
-enterList_of_net_assignments
-  File: arbiter_tb.v , 79
-  Text: beg = ( req3 | req2  ...
-enterNet_assignment
-  File: arbiter_tb.v , 79
-  Text: beg = ( req3 | req2  ...
-enterNet_lvalue
-  File: arbiter_tb.v , 79
-  Text: beg  ...
-enterPs_or_hierarchical_identifier
-  File: arbiter_tb.v , 79
-  Text: beg  ...
-enterIdentifier
-  File: arbiter_tb.v , 79
-  Text: beg  ...
-enterConstant_select
-  File: arbiter_tb.v , 79
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 79
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 79
-  Text: ( req3 | req2 | req1 ...
-enterExpression
-  File: arbiter_tb.v , 79
-  Text: ( req3 | req2 | req1 ...
-enterPrimary
-  File: arbiter_tb.v , 79
-  Text: ( req3 | req2 | req1 ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 79
-  Text: req3 | req2 | req1 | ...
-enterExpression
-  File: arbiter_tb.v , 79
-  Text: req3 | req2 | req1 | ...
-enterExpression
-  File: arbiter_tb.v , 79
-  Text: req3 | req2 | req1  ...
-enterExpression
-  File: arbiter_tb.v , 79
-  Text: req3 | req2  ...
-enterExpression
-  File: arbiter_tb.v , 79
-  Text: req3  ...
-enterPrimary
-  File: arbiter_tb.v , 79
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 79
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 79
-  Text: req3  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 79
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 79
-  Text: req2  ...
-enterPrimary
-  File: arbiter_tb.v , 79
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 79
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 79
-  Text: req2  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 79
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 79
-  Text: req1  ...
-enterPrimary
-  File: arbiter_tb.v , 79
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 79
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 79
-  Text: req1  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 79
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 79
-  Text: req0  ...
-enterPrimary
-  File: arbiter_tb.v , 79
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 79
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 79
-  Text: req0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 79
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 79
-  Text: ~ lcomreq  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 79
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 79
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 79
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 79
-  Text: lcomreq  ...
-enterModule_item
-  File: arbiter_tb.v , 80
-  Text: always @ ( posedge c ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 80
-  Text: always @ ( posedge c ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 80
-  Text: always @ ( posedge c ...
-enterModule_common_item
-  File: arbiter_tb.v , 80
-  Text: always @ ( posedge c ...
-enterAlways_construct
-  File: arbiter_tb.v , 80
-  Text: always @ ( posedge c ...
-enterAlwaysKeywd_Always
-  File: arbiter_tb.v , 80
-  Text: always  ...
-enterStatement
-  File: arbiter_tb.v , 80
-  Text: @ ( posedge clk ) be ...
-enterStatement_item
-  File: arbiter_tb.v , 80
-  Text: @ ( posedge clk ) be ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 80
-  Text: @ ( posedge clk ) be ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 80
-  Text: @ ( posedge clk )  ...
-enterEvent_control
-  File: arbiter_tb.v , 80
-  Text: @ ( posedge clk )  ...
-enterEvent_expression
-  File: arbiter_tb.v , 80
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: arbiter_tb.v , 80
-  Text: posedge  ...
-enterExpression
-  File: arbiter_tb.v , 80
-  Text: clk  ...
-enterPrimary
-  File: arbiter_tb.v , 80
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 80
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 80
-  Text: clk  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 81
-  Text: begin lasmask <= ( b ...
-enterStatement
-  File: arbiter_tb.v , 81
-  Text: begin lasmask <= ( b ...
-enterStatement_item
-  File: arbiter_tb.v , 81
-  Text: begin lasmask <= ( b ...
-enterSeq_block
-  File: arbiter_tb.v , 81
-  Text: begin lasmask <= ( b ...
-enterStatement_or_null
-  File: arbiter_tb.v , 82
-  Text: lasmask <= ( beg & ~ ...
-enterStatement
-  File: arbiter_tb.v , 82
-  Text: lasmask <= ( beg & ~ ...
-enterStatement_item
-  File: arbiter_tb.v , 82
-  Text: lasmask <= ( beg & ~ ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 82
-  Text: lasmask <= ( beg & ~ ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 82
-  Text: lasmask  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 82
-  Text: lasmask  ...
-enterSelect
-  File: arbiter_tb.v , 82
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 82
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 82
-  Text: ( beg & ~ ledge & ~  ...
-enterPrimary
-  File: arbiter_tb.v , 82
-  Text: ( beg & ~ ledge & ~  ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 82
-  Text: beg & ~ ledge & ~ la ...
-enterExpression
-  File: arbiter_tb.v , 82
-  Text: beg & ~ ledge & ~ la ...
-enterExpression
-  File: arbiter_tb.v , 82
-  Text: beg & ~ ledge  ...
-enterExpression
-  File: arbiter_tb.v , 82
-  Text: beg  ...
-enterPrimary
-  File: arbiter_tb.v , 82
-  Text: beg  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 82
-  Text: beg  ...
-enterIdentifier
-  File: arbiter_tb.v , 82
-  Text: beg  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 82
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 82
-  Text: ~ ledge  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 82
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 82
-  Text: ledge  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 82
-  Text: ledge  ...
-enterIdentifier
-  File: arbiter_tb.v , 82
-  Text: ledge  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 82
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 82
-  Text: ~ lasmask  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 82
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 82
-  Text: lasmask  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 82
-  Text: lasmask  ...
-enterIdentifier
-  File: arbiter_tb.v , 82
-  Text: lasmask  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 83
-  Text: ledge <= ( beg & ~ l ...
-enterStatement
-  File: arbiter_tb.v , 83
-  Text: ledge <= ( beg & ~ l ...
-enterStatement_item
-  File: arbiter_tb.v , 83
-  Text: ledge <= ( beg & ~ l ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 83
-  Text: ledge <= ( beg & ~ l ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 83
-  Text: ledge  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 83
-  Text: ledge  ...
-enterSelect
-  File: arbiter_tb.v , 83
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 83
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 83
-  Text: ( beg & ~ ledge & la ...
-enterExpression
-  File: arbiter_tb.v , 83
-  Text: ( beg & ~ ledge & la ...
-enterPrimary
-  File: arbiter_tb.v , 83
-  Text: ( beg & ~ ledge & la ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 83
-  Text: beg & ~ ledge & lasm ...
-enterExpression
-  File: arbiter_tb.v , 83
-  Text: beg & ~ ledge & lasm ...
-enterExpression
-  File: arbiter_tb.v , 83
-  Text: beg & ~ ledge  ...
-enterExpression
-  File: arbiter_tb.v , 83
-  Text: beg  ...
-enterPrimary
-  File: arbiter_tb.v , 83
-  Text: beg  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 83
-  Text: beg  ...
-enterIdentifier
-  File: arbiter_tb.v , 83
-  Text: beg  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 83
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 83
-  Text: ~ ledge  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 83
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 83
-  Text: ledge  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 83
-  Text: ledge  ...
-enterIdentifier
-  File: arbiter_tb.v , 83
-  Text: ledge  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 83
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 83
-  Text: lasmask  ...
-enterPrimary
-  File: arbiter_tb.v , 83
-  Text: lasmask  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 83
-  Text: lasmask  ...
-enterIdentifier
-  File: arbiter_tb.v , 83
-  Text: lasmask  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 84
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 84
-  Text: ( beg & ledge & ~ la ...
-enterPrimary
-  File: arbiter_tb.v , 84
-  Text: ( beg & ledge & ~ la ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 84
-  Text: beg & ledge & ~ lasm ...
-enterExpression
-  File: arbiter_tb.v , 84
-  Text: beg & ledge & ~ lasm ...
-enterExpression
-  File: arbiter_tb.v , 84
-  Text: beg & ledge  ...
-enterExpression
-  File: arbiter_tb.v , 84
-  Text: beg  ...
-enterPrimary
-  File: arbiter_tb.v , 84
-  Text: beg  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 84
-  Text: beg  ...
-enterIdentifier
-  File: arbiter_tb.v , 84
-  Text: beg  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 84
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 84
-  Text: ledge  ...
-enterPrimary
-  File: arbiter_tb.v , 84
-  Text: ledge  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 84
-  Text: ledge  ...
-enterIdentifier
-  File: arbiter_tb.v , 84
-  Text: ledge  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 84
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 84
-  Text: ~ lasmask  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 84
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 84
-  Text: lasmask  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 84
-  Text: lasmask  ...
-enterIdentifier
-  File: arbiter_tb.v , 84
-  Text: lasmask  ...
-enterEnd
-  File: arbiter_tb.v , 85
-  Text: end  ...
-enterModule_item
-  File: arbiter_tb.v , 90
-  Text: assign lcomreq = ( r ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 90
-  Text: assign lcomreq = ( r ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 90
-  Text: assign lcomreq = ( r ...
-enterModule_common_item
-  File: arbiter_tb.v , 90
-  Text: assign lcomreq = ( r ...
-enterContinuous_assign
-  File: arbiter_tb.v , 90
-  Text: assign lcomreq = ( r ...
-enterList_of_net_assignments
-  File: arbiter_tb.v , 90
-  Text: lcomreq = ( req3 & l ...
-enterNet_assignment
-  File: arbiter_tb.v , 90
-  Text: lcomreq = ( req3 & l ...
-enterNet_lvalue
-  File: arbiter_tb.v , 90
-  Text: lcomreq  ...
-enterPs_or_hierarchical_identifier
-  File: arbiter_tb.v , 90
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 90
-  Text: lcomreq  ...
-enterConstant_select
-  File: arbiter_tb.v , 90
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 90
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 90
-  Text: ( req3 & lgnt3 ) | ( ...
-enterExpression
-  File: arbiter_tb.v , 90
-  Text: ( req3 & lgnt3 ) | ( ...
-enterExpression
-  File: arbiter_tb.v , 90
-  Text: ( req3 & lgnt3 ) | ( ...
-enterExpression
-  File: arbiter_tb.v , 90
-  Text: ( req3 & lgnt3 )  ...
-enterPrimary
-  File: arbiter_tb.v , 90
-  Text: ( req3 & lgnt3 )  ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 90
-  Text: req3 & lgnt3  ...
-enterExpression
-  File: arbiter_tb.v , 90
-  Text: req3 & lgnt3  ...
-enterExpression
-  File: arbiter_tb.v , 90
-  Text: req3  ...
-enterPrimary
-  File: arbiter_tb.v , 90
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 90
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 90
-  Text: req3  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 90
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 90
-  Text: lgnt3  ...
-enterPrimary
-  File: arbiter_tb.v , 90
-  Text: lgnt3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 90
-  Text: lgnt3  ...
-enterIdentifier
-  File: arbiter_tb.v , 90
-  Text: lgnt3  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 91
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 91
-  Text: ( req2 & lgnt2 )  ...
-enterPrimary
-  File: arbiter_tb.v , 91
-  Text: ( req2 & lgnt2 )  ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 91
-  Text: req2 & lgnt2  ...
-enterExpression
-  File: arbiter_tb.v , 91
-  Text: req2 & lgnt2  ...
-enterExpression
-  File: arbiter_tb.v , 91
-  Text: req2  ...
-enterPrimary
-  File: arbiter_tb.v , 91
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 91
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 91
-  Text: req2  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 91
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 91
-  Text: lgnt2  ...
-enterPrimary
-  File: arbiter_tb.v , 91
-  Text: lgnt2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 91
-  Text: lgnt2  ...
-enterIdentifier
-  File: arbiter_tb.v , 91
-  Text: lgnt2  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 92
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 92
-  Text: ( req1 & lgnt1 )  ...
-enterPrimary
-  File: arbiter_tb.v , 92
-  Text: ( req1 & lgnt1 )  ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 92
-  Text: req1 & lgnt1  ...
-enterExpression
-  File: arbiter_tb.v , 92
-  Text: req1 & lgnt1  ...
-enterExpression
-  File: arbiter_tb.v , 92
-  Text: req1  ...
-enterPrimary
-  File: arbiter_tb.v , 92
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 92
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 92
-  Text: req1  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 92
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 92
-  Text: lgnt1  ...
-enterPrimary
-  File: arbiter_tb.v , 92
-  Text: lgnt1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 92
-  Text: lgnt1  ...
-enterIdentifier
-  File: arbiter_tb.v , 92
-  Text: lgnt1  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 93
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 93
-  Text: ( req0 & lgnt0 )  ...
-enterPrimary
-  File: arbiter_tb.v , 93
-  Text: ( req0 & lgnt0 )  ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 93
-  Text: req0 & lgnt0  ...
-enterExpression
-  File: arbiter_tb.v , 93
-  Text: req0 & lgnt0  ...
-enterExpression
-  File: arbiter_tb.v , 93
-  Text: req0  ...
-enterPrimary
-  File: arbiter_tb.v , 93
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 93
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 93
-  Text: req0  ...
-enterBinOp_BitwAnd
-  File: arbiter_tb.v , 93
-  Text: &  ...
-enterExpression
-  File: arbiter_tb.v , 93
-  Text: lgnt0  ...
-enterPrimary
-  File: arbiter_tb.v , 93
-  Text: lgnt0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 93
-  Text: lgnt0  ...
-enterIdentifier
-  File: arbiter_tb.v , 93
-  Text: lgnt0  ...
-enterModule_item
-  File: arbiter_tb.v , 98
-  Text: assign lgnt = { ( lg ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 98
-  Text: assign lgnt = { ( lg ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 98
-  Text: assign lgnt = { ( lg ...
-enterModule_common_item
-  File: arbiter_tb.v , 98
-  Text: assign lgnt = { ( lg ...
-enterContinuous_assign
-  File: arbiter_tb.v , 98
-  Text: assign lgnt = { ( lg ...
-enterList_of_net_assignments
-  File: arbiter_tb.v , 98
-  Text: lgnt = { ( lgnt3 | l ...
-enterNet_assignment
-  File: arbiter_tb.v , 98
-  Text: lgnt = { ( lgnt3 | l ...
-enterNet_lvalue
-  File: arbiter_tb.v , 98
-  Text: lgnt  ...
-enterPs_or_hierarchical_identifier
-  File: arbiter_tb.v , 98
-  Text: lgnt  ...
-enterIdentifier
-  File: arbiter_tb.v , 98
-  Text: lgnt  ...
-enterConstant_select
-  File: arbiter_tb.v , 98
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 98
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 98
-  Text: { ( lgnt3 | lgnt2 )  ...
-enterPrimary
-  File: arbiter_tb.v , 98
-  Text: { ( lgnt3 | lgnt2 )  ...
-enterConcatenation
-  File: arbiter_tb.v , 98
-  Text: { ( lgnt3 | lgnt2 )  ...
-enterExpression
-  File: arbiter_tb.v , 98
-  Text: ( lgnt3 | lgnt2 )  ...
-enterPrimary
-  File: arbiter_tb.v , 98
-  Text: ( lgnt3 | lgnt2 )  ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 98
-  Text: lgnt3 | lgnt2  ...
-enterExpression
-  File: arbiter_tb.v , 98
-  Text: lgnt3 | lgnt2  ...
-enterExpression
-  File: arbiter_tb.v , 98
-  Text: lgnt3  ...
-enterPrimary
-  File: arbiter_tb.v , 98
-  Text: lgnt3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 98
-  Text: lgnt3  ...
-enterIdentifier
-  File: arbiter_tb.v , 98
-  Text: lgnt3  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 98
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 98
-  Text: lgnt2  ...
-enterPrimary
-  File: arbiter_tb.v , 98
-  Text: lgnt2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 98
-  Text: lgnt2  ...
-enterIdentifier
-  File: arbiter_tb.v , 98
-  Text: lgnt2  ...
-enterExpression
-  File: arbiter_tb.v , 98
-  Text: ( lgnt3 | lgnt1 )  ...
-enterPrimary
-  File: arbiter_tb.v , 98
-  Text: ( lgnt3 | lgnt1 )  ...
-enterMintypmax_expression
-  File: arbiter_tb.v , 98
-  Text: lgnt3 | lgnt1  ...
-enterExpression
-  File: arbiter_tb.v , 98
-  Text: lgnt3 | lgnt1  ...
-enterExpression
-  File: arbiter_tb.v , 98
-  Text: lgnt3  ...
-enterPrimary
-  File: arbiter_tb.v , 98
-  Text: lgnt3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 98
-  Text: lgnt3  ...
-enterIdentifier
-  File: arbiter_tb.v , 98
-  Text: lgnt3  ...
-enterBinOp_BitwOr
-  File: arbiter_tb.v , 98
-  Text: |  ...
-enterExpression
-  File: arbiter_tb.v , 98
-  Text: lgnt1  ...
-enterPrimary
-  File: arbiter_tb.v , 98
-  Text: lgnt1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 98
-  Text: lgnt1  ...
-enterIdentifier
-  File: arbiter_tb.v , 98
-  Text: lgnt1  ...
-enterModule_item
-  File: arbiter_tb.v , 103
-  Text: always @ ( posedge c ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 103
-  Text: always @ ( posedge c ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 103
-  Text: always @ ( posedge c ...
-enterModule_common_item
-  File: arbiter_tb.v , 103
-  Text: always @ ( posedge c ...
-enterAlways_construct
-  File: arbiter_tb.v , 103
-  Text: always @ ( posedge c ...
-enterAlwaysKeywd_Always
-  File: arbiter_tb.v , 103
-  Text: always  ...
-enterStatement
-  File: arbiter_tb.v , 103
-  Text: @ ( posedge clk ) if ...
-enterStatement_item
-  File: arbiter_tb.v , 103
-  Text: @ ( posedge clk ) if ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 103
-  Text: @ ( posedge clk ) if ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 103
-  Text: @ ( posedge clk )  ...
-enterEvent_control
-  File: arbiter_tb.v , 103
-  Text: @ ( posedge clk )  ...
-enterEvent_expression
-  File: arbiter_tb.v , 103
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: arbiter_tb.v , 103
-  Text: posedge  ...
-enterExpression
-  File: arbiter_tb.v , 103
-  Text: clk  ...
-enterPrimary
-  File: arbiter_tb.v , 103
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 103
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 103
-  Text: clk  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 104
-  Text: if ( rst ) begin lma ...
-enterStatement
-  File: arbiter_tb.v , 104
-  Text: if ( rst ) begin lma ...
-enterStatement_item
-  File: arbiter_tb.v , 104
-  Text: if ( rst ) begin lma ...
-enterConditional_statement
-  File: arbiter_tb.v , 104
-  Text: if ( rst ) begin lma ...
-enterCond_predicate
-  File: arbiter_tb.v , 104
-  Text: rst  ...
-enterExpression_or_cond_pattern
-  File: arbiter_tb.v , 104
-  Text: rst  ...
-enterExpression
-  File: arbiter_tb.v , 104
-  Text: rst  ...
-enterPrimary
-  File: arbiter_tb.v , 104
-  Text: rst  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 104
-  Text: rst  ...
-enterIdentifier
-  File: arbiter_tb.v , 104
-  Text: rst  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 104
-  Text: begin lmask1 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 104
-  Text: begin lmask1 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 104
-  Text: begin lmask1 <= 0 ;  ...
-enterSeq_block
-  File: arbiter_tb.v , 104
-  Text: begin lmask1 <= 0 ;  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 105
-  Text: lmask1 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 105
-  Text: lmask1 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 105
-  Text: lmask1 <= 0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 105
-  Text: lmask1 <= 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 105
-  Text: lmask1  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 105
-  Text: lmask1  ...
-enterSelect
-  File: arbiter_tb.v , 105
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 105
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 105
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 105
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 105
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 105
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 106
-  Text: lmask0 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 106
-  Text: lmask0 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 106
-  Text: lmask0 <= 0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 106
-  Text: lmask0 <= 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 106
-  Text: lmask0  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 106
-  Text: lmask0  ...
-enterSelect
-  File: arbiter_tb.v , 106
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 106
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 106
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 106
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 106
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 106
-  Text: 0  ...
-enterEnd
-  File: arbiter_tb.v , 107
-  Text: end  ...
-enterCond_predicate
-  File: arbiter_tb.v , 107
-  Text: lasmask  ...
-enterExpression_or_cond_pattern
-  File: arbiter_tb.v , 107
-  Text: lasmask  ...
-enterExpression
-  File: arbiter_tb.v , 107
-  Text: lasmask  ...
-enterPrimary
-  File: arbiter_tb.v , 107
-  Text: lasmask  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 107
-  Text: lasmask  ...
-enterIdentifier
-  File: arbiter_tb.v , 107
-  Text: lasmask  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 107
-  Text: begin lmask1 <= lgnt ...
-enterStatement
-  File: arbiter_tb.v , 107
-  Text: begin lmask1 <= lgnt ...
-enterStatement_item
-  File: arbiter_tb.v , 107
-  Text: begin lmask1 <= lgnt ...
-enterSeq_block
-  File: arbiter_tb.v , 107
-  Text: begin lmask1 <= lgnt ...
-enterStatement_or_null
-  File: arbiter_tb.v , 108
-  Text: lmask1 <= lgnt [ 1 ] ...
-enterStatement
-  File: arbiter_tb.v , 108
-  Text: lmask1 <= lgnt [ 1 ] ...
-enterStatement_item
-  File: arbiter_tb.v , 108
-  Text: lmask1 <= lgnt [ 1 ] ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 108
-  Text: lmask1 <= lgnt [ 1 ] ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 108
-  Text: lmask1  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 108
-  Text: lmask1  ...
-enterSelect
-  File: arbiter_tb.v , 108
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 108
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 108
-  Text: lgnt [ 1 ]  ...
-enterPrimary
-  File: arbiter_tb.v , 108
-  Text: lgnt [ 1 ]  ...
-enterComplex_func_call
-  File: arbiter_tb.v , 108
-  Text: lgnt [ 1 ]  ...
-enterIdentifier
-  File: arbiter_tb.v , 108
-  Text: lgnt  ...
-enterSelect
-  File: arbiter_tb.v , 108
-  Text: [ 1 ]  ...
-enterBit_select
-  File: arbiter_tb.v , 108
-  Text: [ 1 ]  ...
-enterExpression
-  File: arbiter_tb.v , 108
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 108
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 108
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 108
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 109
-  Text: lmask0 <= lgnt [ 0 ] ...
-enterStatement
-  File: arbiter_tb.v , 109
-  Text: lmask0 <= lgnt [ 0 ] ...
-enterStatement_item
-  File: arbiter_tb.v , 109
-  Text: lmask0 <= lgnt [ 0 ] ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 109
-  Text: lmask0 <= lgnt [ 0 ] ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 109
-  Text: lmask0  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 109
-  Text: lmask0  ...
-enterSelect
-  File: arbiter_tb.v , 109
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 109
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 109
-  Text: lgnt [ 0 ]  ...
-enterPrimary
-  File: arbiter_tb.v , 109
-  Text: lgnt [ 0 ]  ...
-enterComplex_func_call
-  File: arbiter_tb.v , 109
-  Text: lgnt [ 0 ]  ...
-enterIdentifier
-  File: arbiter_tb.v , 109
-  Text: lgnt  ...
-enterSelect
-  File: arbiter_tb.v , 109
-  Text: [ 0 ]  ...
-enterBit_select
-  File: arbiter_tb.v , 109
-  Text: [ 0 ]  ...
-enterExpression
-  File: arbiter_tb.v , 109
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 109
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 109
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 109
-  Text: 0  ...
-enterEnd
-  File: arbiter_tb.v , 110
-  Text: end  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 110
-  Text: begin lmask1 <= lmas ...
-enterStatement
-  File: arbiter_tb.v , 110
-  Text: begin lmask1 <= lmas ...
-enterStatement_item
-  File: arbiter_tb.v , 110
-  Text: begin lmask1 <= lmas ...
-enterSeq_block
-  File: arbiter_tb.v , 110
-  Text: begin lmask1 <= lmas ...
-enterStatement_or_null
-  File: arbiter_tb.v , 111
-  Text: lmask1 <= lmask1 ;  ...
-enterStatement
-  File: arbiter_tb.v , 111
-  Text: lmask1 <= lmask1 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 111
-  Text: lmask1 <= lmask1 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 111
-  Text: lmask1 <= lmask1  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 111
-  Text: lmask1  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 111
-  Text: lmask1  ...
-enterSelect
-  File: arbiter_tb.v , 111
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 111
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 111
-  Text: lmask1  ...
-enterPrimary
-  File: arbiter_tb.v , 111
-  Text: lmask1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 111
-  Text: lmask1  ...
-enterIdentifier
-  File: arbiter_tb.v , 111
-  Text: lmask1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 112
-  Text: lmask0 <= lmask0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 112
-  Text: lmask0 <= lmask0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 112
-  Text: lmask0 <= lmask0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 112
-  Text: lmask0 <= lmask0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 112
-  Text: lmask0  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 112
-  Text: lmask0  ...
-enterSelect
-  File: arbiter_tb.v , 112
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 112
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 112
-  Text: lmask0  ...
-enterPrimary
-  File: arbiter_tb.v , 112
-  Text: lmask0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 112
-  Text: lmask0  ...
-enterIdentifier
-  File: arbiter_tb.v , 112
-  Text: lmask0  ...
-enterEnd
-  File: arbiter_tb.v , 113
-  Text: end  ...
-enterModule_item
-  File: arbiter_tb.v , 115
-  Text: assign comreq = lcom ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 115
-  Text: assign comreq = lcom ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 115
-  Text: assign comreq = lcom ...
-enterModule_common_item
-  File: arbiter_tb.v , 115
-  Text: assign comreq = lcom ...
-enterContinuous_assign
-  File: arbiter_tb.v , 115
-  Text: assign comreq = lcom ...
-enterList_of_net_assignments
-  File: arbiter_tb.v , 115
-  Text: comreq = lcomreq  ...
-enterNet_assignment
-  File: arbiter_tb.v , 115
-  Text: comreq = lcomreq  ...
-enterNet_lvalue
-  File: arbiter_tb.v , 115
-  Text: comreq  ...
-enterPs_or_hierarchical_identifier
-  File: arbiter_tb.v , 115
-  Text: comreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 115
-  Text: comreq  ...
-enterConstant_select
-  File: arbiter_tb.v , 115
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 115
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 115
-  Text: lcomreq  ...
-enterPrimary
-  File: arbiter_tb.v , 115
-  Text: lcomreq  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 115
-  Text: lcomreq  ...
-enterIdentifier
-  File: arbiter_tb.v , 115
-  Text: lcomreq  ...
-enterModule_item
-  File: arbiter_tb.v , 116
-  Text: assign gnt = lgnt ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 116
-  Text: assign gnt = lgnt ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 116
-  Text: assign gnt = lgnt ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 116
-  Text: assign gnt = lgnt ;  ...
-enterContinuous_assign
-  File: arbiter_tb.v , 116
-  Text: assign gnt = lgnt ;  ...
-enterList_of_net_assignments
-  File: arbiter_tb.v , 116
-  Text: gnt = lgnt  ...
-enterNet_assignment
-  File: arbiter_tb.v , 116
-  Text: gnt = lgnt  ...
-enterNet_lvalue
-  File: arbiter_tb.v , 116
-  Text: gnt  ...
-enterPs_or_hierarchical_identifier
-  File: arbiter_tb.v , 116
-  Text: gnt  ...
-enterIdentifier
-  File: arbiter_tb.v , 116
-  Text: gnt  ...
-enterConstant_select
-  File: arbiter_tb.v , 116
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 116
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 116
-  Text: lgnt  ...
-enterPrimary
-  File: arbiter_tb.v , 116
-  Text: lgnt  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 116
-  Text: lgnt  ...
-enterIdentifier
-  File: arbiter_tb.v , 116
-  Text: lgnt  ...
-enterModule_item
-  File: arbiter_tb.v , 120
-  Text: assign gnt3 = lgnt3  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 120
-  Text: assign gnt3 = lgnt3  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 120
-  Text: assign gnt3 = lgnt3  ...
-enterModule_common_item
-  File: arbiter_tb.v , 120
-  Text: assign gnt3 = lgnt3  ...
-enterContinuous_assign
-  File: arbiter_tb.v , 120
-  Text: assign gnt3 = lgnt3  ...
-enterList_of_net_assignments
-  File: arbiter_tb.v , 120
-  Text: gnt3 = lgnt3  ...
-enterNet_assignment
-  File: arbiter_tb.v , 120
-  Text: gnt3 = lgnt3  ...
-enterNet_lvalue
-  File: arbiter_tb.v , 120
-  Text: gnt3  ...
-enterPs_or_hierarchical_identifier
-  File: arbiter_tb.v , 120
-  Text: gnt3  ...
-enterIdentifier
-  File: arbiter_tb.v , 120
-  Text: gnt3  ...
-enterConstant_select
-  File: arbiter_tb.v , 120
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 120
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 120
-  Text: lgnt3  ...
-enterPrimary
-  File: arbiter_tb.v , 120
-  Text: lgnt3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 120
-  Text: lgnt3  ...
-enterIdentifier
-  File: arbiter_tb.v , 120
-  Text: lgnt3  ...
-enterModule_item
-  File: arbiter_tb.v , 121
-  Text: assign gnt2 = lgnt2  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 121
-  Text: assign gnt2 = lgnt2  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 121
-  Text: assign gnt2 = lgnt2  ...
-enterModule_common_item
-  File: arbiter_tb.v , 121
-  Text: assign gnt2 = lgnt2  ...
-enterContinuous_assign
-  File: arbiter_tb.v , 121
-  Text: assign gnt2 = lgnt2  ...
-enterList_of_net_assignments
-  File: arbiter_tb.v , 121
-  Text: gnt2 = lgnt2  ...
-enterNet_assignment
-  File: arbiter_tb.v , 121
-  Text: gnt2 = lgnt2  ...
-enterNet_lvalue
-  File: arbiter_tb.v , 121
-  Text: gnt2  ...
-enterPs_or_hierarchical_identifier
-  File: arbiter_tb.v , 121
-  Text: gnt2  ...
-enterIdentifier
-  File: arbiter_tb.v , 121
-  Text: gnt2  ...
-enterConstant_select
-  File: arbiter_tb.v , 121
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 121
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 121
-  Text: lgnt2  ...
-enterPrimary
-  File: arbiter_tb.v , 121
-  Text: lgnt2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 121
-  Text: lgnt2  ...
-enterIdentifier
-  File: arbiter_tb.v , 121
-  Text: lgnt2  ...
-enterModule_item
-  File: arbiter_tb.v , 122
-  Text: assign gnt1 = lgnt1  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 122
-  Text: assign gnt1 = lgnt1  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 122
-  Text: assign gnt1 = lgnt1  ...
-enterModule_common_item
-  File: arbiter_tb.v , 122
-  Text: assign gnt1 = lgnt1  ...
-enterContinuous_assign
-  File: arbiter_tb.v , 122
-  Text: assign gnt1 = lgnt1  ...
-enterList_of_net_assignments
-  File: arbiter_tb.v , 122
-  Text: gnt1 = lgnt1  ...
-enterNet_assignment
-  File: arbiter_tb.v , 122
-  Text: gnt1 = lgnt1  ...
-enterNet_lvalue
-  File: arbiter_tb.v , 122
-  Text: gnt1  ...
-enterPs_or_hierarchical_identifier
-  File: arbiter_tb.v , 122
-  Text: gnt1  ...
-enterIdentifier
-  File: arbiter_tb.v , 122
-  Text: gnt1  ...
-enterConstant_select
-  File: arbiter_tb.v , 122
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 122
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 122
-  Text: lgnt1  ...
-enterPrimary
-  File: arbiter_tb.v , 122
-  Text: lgnt1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 122
-  Text: lgnt1  ...
-enterIdentifier
-  File: arbiter_tb.v , 122
-  Text: lgnt1  ...
-enterModule_item
-  File: arbiter_tb.v , 123
-  Text: assign gnt0 = lgnt0  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 123
-  Text: assign gnt0 = lgnt0  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 123
-  Text: assign gnt0 = lgnt0  ...
-enterModule_common_item
-  File: arbiter_tb.v , 123
-  Text: assign gnt0 = lgnt0  ...
-enterContinuous_assign
-  File: arbiter_tb.v , 123
-  Text: assign gnt0 = lgnt0  ...
-enterList_of_net_assignments
-  File: arbiter_tb.v , 123
-  Text: gnt0 = lgnt0  ...
-enterNet_assignment
-  File: arbiter_tb.v , 123
-  Text: gnt0 = lgnt0  ...
-enterNet_lvalue
-  File: arbiter_tb.v , 123
-  Text: gnt0  ...
-enterPs_or_hierarchical_identifier
-  File: arbiter_tb.v , 123
-  Text: gnt0  ...
-enterIdentifier
-  File: arbiter_tb.v , 123
-  Text: gnt0  ...
-enterConstant_select
-  File: arbiter_tb.v , 123
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 123
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 123
-  Text: lgnt0  ...
-enterPrimary
-  File: arbiter_tb.v , 123
-  Text: lgnt0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 123
-  Text: lgnt0  ...
-enterIdentifier
-  File: arbiter_tb.v , 123
-  Text: lgnt0  ...
-enterEndmodule
-  File: arbiter_tb.v , 125
-  Text: endmodule  ...
-enterDescription
-  File: arbiter_tb.v , 126
-  Text: module top ( ) ; reg ...
-enterModule_declaration
-  File: arbiter_tb.v , 126
-  Text: module top ( ) ; reg ...
-enterModule_nonansi_header
-  File: arbiter_tb.v , 126
-  Text: module top ( ) ;  ...
-enterModule_keyword
-  File: arbiter_tb.v , 126
-  Text: module  ...
-enterIdentifier
-  File: arbiter_tb.v , 126
-  Text: top  ...
-enterList_of_ports
-  File: arbiter_tb.v , 126
-  Text: ( )  ...
-enterPort
-  File: arbiter_tb.v , 126
-  Text:  ...
-enterPort_expression
-  File: arbiter_tb.v , 126
-  Text:  ...
-enterModule_item
-  File: arbiter_tb.v , 128
-  Text: reg clk ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 128
-  Text: reg clk ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 128
-  Text: reg clk ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 128
-  Text: reg clk ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 128
-  Text: reg clk ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 128
-  Text: reg clk ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 128
-  Text: reg clk ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 128
-  Text: reg clk ;  ...
-enterData_type
-  File: arbiter_tb.v , 128
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 128
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 128
-  Text: clk  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 128
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 128
-  Text: clk  ...
-enterModule_item
-  File: arbiter_tb.v , 129
-  Text: reg rst ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 129
-  Text: reg rst ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 129
-  Text: reg rst ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 129
-  Text: reg rst ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 129
-  Text: reg rst ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 129
-  Text: reg rst ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 129
-  Text: reg rst ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 129
-  Text: reg rst ;  ...
-enterData_type
-  File: arbiter_tb.v , 129
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 129
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 129
-  Text: rst  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 129
-  Text: rst  ...
-enterIdentifier
-  File: arbiter_tb.v , 129
-  Text: rst  ...
-enterModule_item
-  File: arbiter_tb.v , 130
-  Text: reg req3 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 130
-  Text: reg req3 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 130
-  Text: reg req3 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 130
-  Text: reg req3 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 130
-  Text: reg req3 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 130
-  Text: reg req3 ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 130
-  Text: reg req3 ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 130
-  Text: reg req3 ;  ...
-enterData_type
-  File: arbiter_tb.v , 130
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 130
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 130
-  Text: req3  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 130
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 130
-  Text: req3  ...
-enterModule_item
-  File: arbiter_tb.v , 131
-  Text: reg req2 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 131
-  Text: reg req2 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 131
-  Text: reg req2 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 131
-  Text: reg req2 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 131
-  Text: reg req2 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 131
-  Text: reg req2 ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 131
-  Text: reg req2 ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 131
-  Text: reg req2 ;  ...
-enterData_type
-  File: arbiter_tb.v , 131
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 131
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 131
-  Text: req2  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 131
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 131
-  Text: req2  ...
-enterModule_item
-  File: arbiter_tb.v , 132
-  Text: reg req1 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 132
-  Text: reg req1 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 132
-  Text: reg req1 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 132
-  Text: reg req1 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 132
-  Text: reg req1 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 132
-  Text: reg req1 ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 132
-  Text: reg req1 ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 132
-  Text: reg req1 ;  ...
-enterData_type
-  File: arbiter_tb.v , 132
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 132
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 132
-  Text: req1  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 132
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 132
-  Text: req1  ...
-enterModule_item
-  File: arbiter_tb.v , 133
-  Text: reg req0 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 133
-  Text: reg req0 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 133
-  Text: reg req0 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 133
-  Text: reg req0 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 133
-  Text: reg req0 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 133
-  Text: reg req0 ;  ...
-enterData_declaration
-  File: arbiter_tb.v , 133
-  Text: reg req0 ;  ...
-enterVariable_declaration
-  File: arbiter_tb.v , 133
-  Text: reg req0 ;  ...
-enterData_type
-  File: arbiter_tb.v , 133
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: arbiter_tb.v , 133
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: arbiter_tb.v , 133
-  Text: req0  ...
-enterVariable_decl_assignment
-  File: arbiter_tb.v , 133
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 133
-  Text: req0  ...
-enterModule_item
-  File: arbiter_tb.v , 134
-  Text: wire gnt3 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 134
-  Text: wire gnt3 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 134
-  Text: wire gnt3 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 134
-  Text: wire gnt3 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 134
-  Text: wire gnt3 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 134
-  Text: wire gnt3 ;  ...
-enterNet_declaration
-  File: arbiter_tb.v , 134
-  Text: wire gnt3 ;  ...
-enterNetType_Wire
-  File: arbiter_tb.v , 134
-  Text: wire  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 134
-  Text:  ...
-enterList_of_net_decl_assignments
-  File: arbiter_tb.v , 134
-  Text: gnt3  ...
-enterNet_decl_assignment
-  File: arbiter_tb.v , 134
-  Text: gnt3  ...
-enterIdentifier
-  File: arbiter_tb.v , 134
-  Text: gnt3  ...
-enterModule_item
-  File: arbiter_tb.v , 135
-  Text: wire gnt2 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 135
-  Text: wire gnt2 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 135
-  Text: wire gnt2 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 135
-  Text: wire gnt2 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 135
-  Text: wire gnt2 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 135
-  Text: wire gnt2 ;  ...
-enterNet_declaration
-  File: arbiter_tb.v , 135
-  Text: wire gnt2 ;  ...
-enterNetType_Wire
-  File: arbiter_tb.v , 135
-  Text: wire  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 135
-  Text:  ...
-enterList_of_net_decl_assignments
-  File: arbiter_tb.v , 135
-  Text: gnt2  ...
-enterNet_decl_assignment
-  File: arbiter_tb.v , 135
-  Text: gnt2  ...
-enterIdentifier
-  File: arbiter_tb.v , 135
-  Text: gnt2  ...
-enterModule_item
-  File: arbiter_tb.v , 136
-  Text: wire gnt1 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 136
-  Text: wire gnt1 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 136
-  Text: wire gnt1 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 136
-  Text: wire gnt1 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 136
-  Text: wire gnt1 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 136
-  Text: wire gnt1 ;  ...
-enterNet_declaration
-  File: arbiter_tb.v , 136
-  Text: wire gnt1 ;  ...
-enterNetType_Wire
-  File: arbiter_tb.v , 136
-  Text: wire  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 136
-  Text:  ...
-enterList_of_net_decl_assignments
-  File: arbiter_tb.v , 136
-  Text: gnt1  ...
-enterNet_decl_assignment
-  File: arbiter_tb.v , 136
-  Text: gnt1  ...
-enterIdentifier
-  File: arbiter_tb.v , 136
-  Text: gnt1  ...
-enterModule_item
-  File: arbiter_tb.v , 137
-  Text: wire gnt0 ;  ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 137
-  Text: wire gnt0 ;  ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 137
-  Text: wire gnt0 ;  ...
-enterModule_common_item
-  File: arbiter_tb.v , 137
-  Text: wire gnt0 ;  ...
-enterModule_or_generate_item_declaration
-  File: arbiter_tb.v , 137
-  Text: wire gnt0 ;  ...
-enterPackage_or_generate_item_declaration
-  File: arbiter_tb.v , 137
-  Text: wire gnt0 ;  ...
-enterNet_declaration
-  File: arbiter_tb.v , 137
-  Text: wire gnt0 ;  ...
-enterNetType_Wire
-  File: arbiter_tb.v , 137
-  Text: wire  ...
-enterData_type_or_implicit
-  File: arbiter_tb.v , 137
-  Text:  ...
-enterList_of_net_decl_assignments
-  File: arbiter_tb.v , 137
-  Text: gnt0  ...
-enterNet_decl_assignment
-  File: arbiter_tb.v , 137
-  Text: gnt0  ...
-enterIdentifier
-  File: arbiter_tb.v , 137
-  Text: gnt0  ...
-enterModule_item
-  File: arbiter_tb.v , 140
-  Text: always #1 clk = ~ cl ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 140
-  Text: always #1 clk = ~ cl ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 140
-  Text: always #1 clk = ~ cl ...
-enterModule_common_item
-  File: arbiter_tb.v , 140
-  Text: always #1 clk = ~ cl ...
-enterAlways_construct
-  File: arbiter_tb.v , 140
-  Text: always #1 clk = ~ cl ...
-enterAlwaysKeywd_Always
-  File: arbiter_tb.v , 140
-  Text: always  ...
-enterStatement
-  File: arbiter_tb.v , 140
-  Text: #1 clk = ~ clk ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 140
-  Text: #1 clk = ~ clk ;  ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 140
-  Text: #1 clk = ~ clk ;  ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 140
-  Text: #1  ...
-enterDelay_control
-  File: arbiter_tb.v , 140
-  Text: #1  ...
-enterPound_delay_value
-  File: arbiter_tb.v , 140
-  Text: #1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 140
-  Text: clk = ~ clk ;  ...
-enterStatement
-  File: arbiter_tb.v , 140
-  Text: clk = ~ clk ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 140
-  Text: clk = ~ clk ;  ...
-enterBlocking_assignment
-  File: arbiter_tb.v , 140
-  Text: clk = ~ clk  ...
-enterOperator_assignment
-  File: arbiter_tb.v , 140
-  Text: clk = ~ clk  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 140
-  Text: clk  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 140
-  Text: clk  ...
-enterSelect
-  File: arbiter_tb.v , 140
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 140
-  Text:  ...
-enterAssignOp_Assign
-  File: arbiter_tb.v , 140
-  Text: =  ...
-enterExpression
-  File: arbiter_tb.v , 140
-  Text: ~ clk  ...
-enterUnary_Tilda
-  File: arbiter_tb.v , 140
-  Text: ~  ...
-enterPrimary
-  File: arbiter_tb.v , 140
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 140
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 140
-  Text: clk  ...
-enterModule_item
-  File: arbiter_tb.v , 142
-  Text: initial begin $ dump ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 142
-  Text: initial begin $ dump ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 142
-  Text: initial begin $ dump ...
-enterModule_common_item
-  File: arbiter_tb.v , 142
-  Text: initial begin $ dump ...
-enterInitial_construct
-  File: arbiter_tb.v , 142
-  Text: initial begin $ dump ...
-enterStatement_or_null
-  File: arbiter_tb.v , 142
-  Text: begin $ dumpfile ( " ...
-enterStatement
-  File: arbiter_tb.v , 142
-  Text: begin $ dumpfile ( " ...
-enterStatement_item
-  File: arbiter_tb.v , 142
-  Text: begin $ dumpfile ( " ...
-enterSeq_block
-  File: arbiter_tb.v , 142
-  Text: begin $ dumpfile ( " ...
-enterStatement_or_null
-  File: arbiter_tb.v , 143
-  Text: $ dumpfile ( "arbite ...
-enterStatement
-  File: arbiter_tb.v , 143
-  Text: $ dumpfile ( "arbite ...
-enterStatement_item
-  File: arbiter_tb.v , 143
-  Text: $ dumpfile ( "arbite ...
-enterSubroutine_call_statement
-  File: arbiter_tb.v , 143
-  Text: $ dumpfile ( "arbite ...
-enterSubroutine_call
-  File: arbiter_tb.v , 143
-  Text: $ dumpfile ( "arbite ...
-enterDollar_keyword
-  File: arbiter_tb.v , 143
-  Text: $  ...
-enterIdentifier
-  File: arbiter_tb.v , 143
-  Text: dumpfile  ...
-enterList_of_arguments
-  File: arbiter_tb.v , 143
-  Text: "arbiter.vcd"  ...
-enterExpression
-  File: arbiter_tb.v , 143
-  Text: "arbiter.vcd"  ...
-enterPrimary
-  File: arbiter_tb.v , 143
-  Text: "arbiter.vcd"  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 143
-  Text: "arbiter.vcd"  ...
-enterString_value
-  File: arbiter_tb.v , 143
-  Text: "arbiter.vcd"  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 144
-  Text: $ dumpvars ( ) ;  ...
-enterStatement
-  File: arbiter_tb.v , 144
-  Text: $ dumpvars ( ) ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 144
-  Text: $ dumpvars ( ) ;  ...
-enterSubroutine_call_statement
-  File: arbiter_tb.v , 144
-  Text: $ dumpvars ( ) ;  ...
-enterSubroutine_call
-  File: arbiter_tb.v , 144
-  Text: $ dumpvars ( )  ...
-enterDollar_keyword
-  File: arbiter_tb.v , 144
-  Text: $  ...
-enterIdentifier
-  File: arbiter_tb.v , 144
-  Text: dumpvars  ...
-enterList_of_arguments
-  File: arbiter_tb.v , 144
-  Text:  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 145
-  Text: clk = 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 145
-  Text: clk = 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 145
-  Text: clk = 0 ;  ...
-enterBlocking_assignment
-  File: arbiter_tb.v , 145
-  Text: clk = 0  ...
-enterOperator_assignment
-  File: arbiter_tb.v , 145
-  Text: clk = 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 145
-  Text: clk  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 145
-  Text: clk  ...
-enterSelect
-  File: arbiter_tb.v , 145
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 145
-  Text:  ...
-enterAssignOp_Assign
-  File: arbiter_tb.v , 145
-  Text: =  ...
-enterExpression
-  File: arbiter_tb.v , 145
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 145
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 145
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 145
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 146
-  Text: rst = 1 ;  ...
-enterStatement
-  File: arbiter_tb.v , 146
-  Text: rst = 1 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 146
-  Text: rst = 1 ;  ...
-enterBlocking_assignment
-  File: arbiter_tb.v , 146
-  Text: rst = 1  ...
-enterOperator_assignment
-  File: arbiter_tb.v , 146
-  Text: rst = 1  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 146
-  Text: rst  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 146
-  Text: rst  ...
-enterSelect
-  File: arbiter_tb.v , 146
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 146
-  Text:  ...
-enterAssignOp_Assign
-  File: arbiter_tb.v , 146
-  Text: =  ...
-enterExpression
-  File: arbiter_tb.v , 146
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 146
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 146
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 146
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 147
-  Text: req0 = 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 147
-  Text: req0 = 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 147
-  Text: req0 = 0 ;  ...
-enterBlocking_assignment
-  File: arbiter_tb.v , 147
-  Text: req0 = 0  ...
-enterOperator_assignment
-  File: arbiter_tb.v , 147
-  Text: req0 = 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 147
-  Text: req0  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 147
-  Text: req0  ...
-enterSelect
-  File: arbiter_tb.v , 147
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 147
-  Text:  ...
-enterAssignOp_Assign
-  File: arbiter_tb.v , 147
-  Text: =  ...
-enterExpression
-  File: arbiter_tb.v , 147
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 147
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 147
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 147
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 148
-  Text: req1 = 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 148
-  Text: req1 = 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 148
-  Text: req1 = 0 ;  ...
-enterBlocking_assignment
-  File: arbiter_tb.v , 148
-  Text: req1 = 0  ...
-enterOperator_assignment
-  File: arbiter_tb.v , 148
-  Text: req1 = 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 148
-  Text: req1  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 148
-  Text: req1  ...
-enterSelect
-  File: arbiter_tb.v , 148
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 148
-  Text:  ...
-enterAssignOp_Assign
-  File: arbiter_tb.v , 148
-  Text: =  ...
-enterExpression
-  File: arbiter_tb.v , 148
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 148
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 148
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 148
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 149
-  Text: req2 = 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 149
-  Text: req2 = 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 149
-  Text: req2 = 0 ;  ...
-enterBlocking_assignment
-  File: arbiter_tb.v , 149
-  Text: req2 = 0  ...
-enterOperator_assignment
-  File: arbiter_tb.v , 149
-  Text: req2 = 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 149
-  Text: req2  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 149
-  Text: req2  ...
-enterSelect
-  File: arbiter_tb.v , 149
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 149
-  Text:  ...
-enterAssignOp_Assign
-  File: arbiter_tb.v , 149
-  Text: =  ...
-enterExpression
-  File: arbiter_tb.v , 149
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 149
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 149
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 149
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 150
-  Text: req3 = 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 150
-  Text: req3 = 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 150
-  Text: req3 = 0 ;  ...
-enterBlocking_assignment
-  File: arbiter_tb.v , 150
-  Text: req3 = 0  ...
-enterOperator_assignment
-  File: arbiter_tb.v , 150
-  Text: req3 = 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 150
-  Text: req3  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 150
-  Text: req3  ...
-enterSelect
-  File: arbiter_tb.v , 150
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 150
-  Text:  ...
-enterAssignOp_Assign
-  File: arbiter_tb.v , 150
-  Text: =  ...
-enterExpression
-  File: arbiter_tb.v , 150
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 150
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 150
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 150
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 151
-  Text: #10 rst = 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 151
-  Text: #10 rst = 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 151
-  Text: #10 rst = 0 ;  ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 151
-  Text: #10 rst = 0 ;  ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 151
-  Text: #10  ...
-enterDelay_control
-  File: arbiter_tb.v , 151
-  Text: #10  ...
-enterPound_delay_value
-  File: arbiter_tb.v , 151
-  Text: #10  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 151
-  Text: rst = 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 151
-  Text: rst = 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 151
-  Text: rst = 0 ;  ...
-enterBlocking_assignment
-  File: arbiter_tb.v , 151
-  Text: rst = 0  ...
-enterOperator_assignment
-  File: arbiter_tb.v , 151
-  Text: rst = 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 151
-  Text: rst  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 151
-  Text: rst  ...
-enterSelect
-  File: arbiter_tb.v , 151
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 151
-  Text:  ...
-enterAssignOp_Assign
-  File: arbiter_tb.v , 151
-  Text: =  ...
-enterExpression
-  File: arbiter_tb.v , 151
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 151
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 151
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 151
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 152
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement
-  File: arbiter_tb.v , 152
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement_item
-  File: arbiter_tb.v , 152
-  Text: repeat ( 1 ) @ ( pos ...
-enterLoop_statement
-  File: arbiter_tb.v , 152
-  Text: repeat ( 1 ) @ ( pos ...
-enterRepeat_keyword
-  File: arbiter_tb.v , 152
-  Text: repeat  ...
-enterExpression
-  File: arbiter_tb.v , 152
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 152
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 152
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 152
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 152
-  Text: @ ( posedge clk ) ;  ...
-enterStatement
-  File: arbiter_tb.v , 152
-  Text: @ ( posedge clk ) ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 152
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 152
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 152
-  Text: @ ( posedge clk )  ...
-enterEvent_control
-  File: arbiter_tb.v , 152
-  Text: @ ( posedge clk )  ...
-enterEvent_expression
-  File: arbiter_tb.v , 152
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: arbiter_tb.v , 152
-  Text: posedge  ...
-enterExpression
-  File: arbiter_tb.v , 152
-  Text: clk  ...
-enterPrimary
-  File: arbiter_tb.v , 152
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 152
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 152
-  Text: clk  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 152
-  Text: ;  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 153
-  Text: req0 <= 1 ;  ...
-enterStatement
-  File: arbiter_tb.v , 153
-  Text: req0 <= 1 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 153
-  Text: req0 <= 1 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 153
-  Text: req0 <= 1  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 153
-  Text: req0  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 153
-  Text: req0  ...
-enterSelect
-  File: arbiter_tb.v , 153
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 153
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 153
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 153
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 153
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 153
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 154
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement
-  File: arbiter_tb.v , 154
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement_item
-  File: arbiter_tb.v , 154
-  Text: repeat ( 1 ) @ ( pos ...
-enterLoop_statement
-  File: arbiter_tb.v , 154
-  Text: repeat ( 1 ) @ ( pos ...
-enterRepeat_keyword
-  File: arbiter_tb.v , 154
-  Text: repeat  ...
-enterExpression
-  File: arbiter_tb.v , 154
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 154
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 154
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 154
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 154
-  Text: @ ( posedge clk ) ;  ...
-enterStatement
-  File: arbiter_tb.v , 154
-  Text: @ ( posedge clk ) ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 154
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 154
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 154
-  Text: @ ( posedge clk )  ...
-enterEvent_control
-  File: arbiter_tb.v , 154
-  Text: @ ( posedge clk )  ...
-enterEvent_expression
-  File: arbiter_tb.v , 154
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: arbiter_tb.v , 154
-  Text: posedge  ...
-enterExpression
-  File: arbiter_tb.v , 154
-  Text: clk  ...
-enterPrimary
-  File: arbiter_tb.v , 154
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 154
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 154
-  Text: clk  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 154
-  Text: ;  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 155
-  Text: req0 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 155
-  Text: req0 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 155
-  Text: req0 <= 0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 155
-  Text: req0 <= 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 155
-  Text: req0  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 155
-  Text: req0  ...
-enterSelect
-  File: arbiter_tb.v , 155
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 155
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 155
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 155
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 155
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 155
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 156
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement
-  File: arbiter_tb.v , 156
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement_item
-  File: arbiter_tb.v , 156
-  Text: repeat ( 1 ) @ ( pos ...
-enterLoop_statement
-  File: arbiter_tb.v , 156
-  Text: repeat ( 1 ) @ ( pos ...
-enterRepeat_keyword
-  File: arbiter_tb.v , 156
-  Text: repeat  ...
-enterExpression
-  File: arbiter_tb.v , 156
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 156
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 156
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 156
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 156
-  Text: @ ( posedge clk ) ;  ...
-enterStatement
-  File: arbiter_tb.v , 156
-  Text: @ ( posedge clk ) ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 156
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 156
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 156
-  Text: @ ( posedge clk )  ...
-enterEvent_control
-  File: arbiter_tb.v , 156
-  Text: @ ( posedge clk )  ...
-enterEvent_expression
-  File: arbiter_tb.v , 156
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: arbiter_tb.v , 156
-  Text: posedge  ...
-enterExpression
-  File: arbiter_tb.v , 156
-  Text: clk  ...
-enterPrimary
-  File: arbiter_tb.v , 156
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 156
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 156
-  Text: clk  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 156
-  Text: ;  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 157
-  Text: req0 <= 1 ;  ...
-enterStatement
-  File: arbiter_tb.v , 157
-  Text: req0 <= 1 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 157
-  Text: req0 <= 1 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 157
-  Text: req0 <= 1  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 157
-  Text: req0  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 157
-  Text: req0  ...
-enterSelect
-  File: arbiter_tb.v , 157
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 157
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 157
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 157
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 157
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 157
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 158
-  Text: req1 <= 1 ;  ...
-enterStatement
-  File: arbiter_tb.v , 158
-  Text: req1 <= 1 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 158
-  Text: req1 <= 1 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 158
-  Text: req1 <= 1  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 158
-  Text: req1  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 158
-  Text: req1  ...
-enterSelect
-  File: arbiter_tb.v , 158
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 158
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 158
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 158
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 158
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 158
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 159
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement
-  File: arbiter_tb.v , 159
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement_item
-  File: arbiter_tb.v , 159
-  Text: repeat ( 1 ) @ ( pos ...
-enterLoop_statement
-  File: arbiter_tb.v , 159
-  Text: repeat ( 1 ) @ ( pos ...
-enterRepeat_keyword
-  File: arbiter_tb.v , 159
-  Text: repeat  ...
-enterExpression
-  File: arbiter_tb.v , 159
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 159
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 159
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 159
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 159
-  Text: @ ( posedge clk ) ;  ...
-enterStatement
-  File: arbiter_tb.v , 159
-  Text: @ ( posedge clk ) ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 159
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 159
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 159
-  Text: @ ( posedge clk )  ...
-enterEvent_control
-  File: arbiter_tb.v , 159
-  Text: @ ( posedge clk )  ...
-enterEvent_expression
-  File: arbiter_tb.v , 159
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: arbiter_tb.v , 159
-  Text: posedge  ...
-enterExpression
-  File: arbiter_tb.v , 159
-  Text: clk  ...
-enterPrimary
-  File: arbiter_tb.v , 159
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 159
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 159
-  Text: clk  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 159
-  Text: ;  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 160
-  Text: req2 <= 1 ;  ...
-enterStatement
-  File: arbiter_tb.v , 160
-  Text: req2 <= 1 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 160
-  Text: req2 <= 1 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 160
-  Text: req2 <= 1  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 160
-  Text: req2  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 160
-  Text: req2  ...
-enterSelect
-  File: arbiter_tb.v , 160
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 160
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 160
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 160
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 160
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 160
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 161
-  Text: req1 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 161
-  Text: req1 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 161
-  Text: req1 <= 0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 161
-  Text: req1 <= 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 161
-  Text: req1  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 161
-  Text: req1  ...
-enterSelect
-  File: arbiter_tb.v , 161
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 161
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 161
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 161
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 161
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 161
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 162
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement
-  File: arbiter_tb.v , 162
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement_item
-  File: arbiter_tb.v , 162
-  Text: repeat ( 1 ) @ ( pos ...
-enterLoop_statement
-  File: arbiter_tb.v , 162
-  Text: repeat ( 1 ) @ ( pos ...
-enterRepeat_keyword
-  File: arbiter_tb.v , 162
-  Text: repeat  ...
-enterExpression
-  File: arbiter_tb.v , 162
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 162
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 162
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 162
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 162
-  Text: @ ( posedge clk ) ;  ...
-enterStatement
-  File: arbiter_tb.v , 162
-  Text: @ ( posedge clk ) ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 162
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 162
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 162
-  Text: @ ( posedge clk )  ...
-enterEvent_control
-  File: arbiter_tb.v , 162
-  Text: @ ( posedge clk )  ...
-enterEvent_expression
-  File: arbiter_tb.v , 162
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: arbiter_tb.v , 162
-  Text: posedge  ...
-enterExpression
-  File: arbiter_tb.v , 162
-  Text: clk  ...
-enterPrimary
-  File: arbiter_tb.v , 162
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 162
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 162
-  Text: clk  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 162
-  Text: ;  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 163
-  Text: req3 <= 1 ;  ...
-enterStatement
-  File: arbiter_tb.v , 163
-  Text: req3 <= 1 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 163
-  Text: req3 <= 1 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 163
-  Text: req3 <= 1  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 163
-  Text: req3  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 163
-  Text: req3  ...
-enterSelect
-  File: arbiter_tb.v , 163
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 163
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 163
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 163
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 163
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 163
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 164
-  Text: req2 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 164
-  Text: req2 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 164
-  Text: req2 <= 0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 164
-  Text: req2 <= 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 164
-  Text: req2  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 164
-  Text: req2  ...
-enterSelect
-  File: arbiter_tb.v , 164
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 164
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 164
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 164
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 164
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 164
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 165
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement
-  File: arbiter_tb.v , 165
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement_item
-  File: arbiter_tb.v , 165
-  Text: repeat ( 1 ) @ ( pos ...
-enterLoop_statement
-  File: arbiter_tb.v , 165
-  Text: repeat ( 1 ) @ ( pos ...
-enterRepeat_keyword
-  File: arbiter_tb.v , 165
-  Text: repeat  ...
-enterExpression
-  File: arbiter_tb.v , 165
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 165
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 165
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 165
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 165
-  Text: @ ( posedge clk ) ;  ...
-enterStatement
-  File: arbiter_tb.v , 165
-  Text: @ ( posedge clk ) ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 165
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 165
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 165
-  Text: @ ( posedge clk )  ...
-enterEvent_control
-  File: arbiter_tb.v , 165
-  Text: @ ( posedge clk )  ...
-enterEvent_expression
-  File: arbiter_tb.v , 165
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: arbiter_tb.v , 165
-  Text: posedge  ...
-enterExpression
-  File: arbiter_tb.v , 165
-  Text: clk  ...
-enterPrimary
-  File: arbiter_tb.v , 165
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 165
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 165
-  Text: clk  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 165
-  Text: ;  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 166
-  Text: req3 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 166
-  Text: req3 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 166
-  Text: req3 <= 0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 166
-  Text: req3 <= 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 166
-  Text: req3  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 166
-  Text: req3  ...
-enterSelect
-  File: arbiter_tb.v , 166
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 166
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 166
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 166
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 166
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 166
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 167
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement
-  File: arbiter_tb.v , 167
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement_item
-  File: arbiter_tb.v , 167
-  Text: repeat ( 1 ) @ ( pos ...
-enterLoop_statement
-  File: arbiter_tb.v , 167
-  Text: repeat ( 1 ) @ ( pos ...
-enterRepeat_keyword
-  File: arbiter_tb.v , 167
-  Text: repeat  ...
-enterExpression
-  File: arbiter_tb.v , 167
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 167
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 167
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 167
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 167
-  Text: @ ( posedge clk ) ;  ...
-enterStatement
-  File: arbiter_tb.v , 167
-  Text: @ ( posedge clk ) ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 167
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 167
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 167
-  Text: @ ( posedge clk )  ...
-enterEvent_control
-  File: arbiter_tb.v , 167
-  Text: @ ( posedge clk )  ...
-enterEvent_expression
-  File: arbiter_tb.v , 167
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: arbiter_tb.v , 167
-  Text: posedge  ...
-enterExpression
-  File: arbiter_tb.v , 167
-  Text: clk  ...
-enterPrimary
-  File: arbiter_tb.v , 167
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 167
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 167
-  Text: clk  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 167
-  Text: ;  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 168
-  Text: req0 <= 0 ;  ...
-enterStatement
-  File: arbiter_tb.v , 168
-  Text: req0 <= 0 ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 168
-  Text: req0 <= 0 ;  ...
-enterNonblocking_assignment
-  File: arbiter_tb.v , 168
-  Text: req0 <= 0  ...
-enterVariable_lvalue
-  File: arbiter_tb.v , 168
-  Text: req0  ...
-enterHierarchical_identifier
-  File: arbiter_tb.v , 168
-  Text: req0  ...
-enterSelect
-  File: arbiter_tb.v , 168
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 168
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 168
-  Text: 0  ...
-enterPrimary
-  File: arbiter_tb.v , 168
-  Text: 0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 168
-  Text: 0  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 168
-  Text: 0  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 169
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement
-  File: arbiter_tb.v , 169
-  Text: repeat ( 1 ) @ ( pos ...
-enterStatement_item
-  File: arbiter_tb.v , 169
-  Text: repeat ( 1 ) @ ( pos ...
-enterLoop_statement
-  File: arbiter_tb.v , 169
-  Text: repeat ( 1 ) @ ( pos ...
-enterRepeat_keyword
-  File: arbiter_tb.v , 169
-  Text: repeat  ...
-enterExpression
-  File: arbiter_tb.v , 169
-  Text: 1  ...
-enterPrimary
-  File: arbiter_tb.v , 169
-  Text: 1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 169
-  Text: 1  ...
-enterNumber_Integral
-  File: arbiter_tb.v , 169
-  Text: 1  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 169
-  Text: @ ( posedge clk ) ;  ...
-enterStatement
-  File: arbiter_tb.v , 169
-  Text: @ ( posedge clk ) ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 169
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 169
-  Text: @ ( posedge clk ) ;  ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 169
-  Text: @ ( posedge clk )  ...
-enterEvent_control
-  File: arbiter_tb.v , 169
-  Text: @ ( posedge clk )  ...
-enterEvent_expression
-  File: arbiter_tb.v , 169
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: arbiter_tb.v , 169
-  Text: posedge  ...
-enterExpression
-  File: arbiter_tb.v , 169
-  Text: clk  ...
-enterPrimary
-  File: arbiter_tb.v , 169
-  Text: clk  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 169
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 169
-  Text: clk  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 169
-  Text: ;  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 170
-  Text: #10 $ finish ;  ...
-enterStatement
-  File: arbiter_tb.v , 170
-  Text: #10 $ finish ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 170
-  Text: #10 $ finish ;  ...
-enterProcedural_timing_control_statement
-  File: arbiter_tb.v , 170
-  Text: #10 $ finish ;  ...
-enterProcedural_timing_control
-  File: arbiter_tb.v , 170
-  Text: #10  ...
-enterDelay_control
-  File: arbiter_tb.v , 170
-  Text: #10  ...
-enterPound_delay_value
-  File: arbiter_tb.v , 170
-  Text: #10  ...
-enterStatement_or_null
-  File: arbiter_tb.v , 170
-  Text: $ finish ;  ...
-enterStatement
-  File: arbiter_tb.v , 170
-  Text: $ finish ;  ...
-enterStatement_item
-  File: arbiter_tb.v , 170
-  Text: $ finish ;  ...
-enterSubroutine_call_statement
-  File: arbiter_tb.v , 170
-  Text: $ finish ;  ...
-enterSubroutine_call
-  File: arbiter_tb.v , 170
-  Text: $ finish  ...
-enterDollar_keyword
-  File: arbiter_tb.v , 170
-  Text:[INFO :PY0400] Processing source file "dff.v".
-
- $  ...
-enterIdentifier
-  File: arbiter_tb.v , 170
-  Text: finish  ...
-enterSelect
-  File: arbiter_tb.v , 170
-  Text:  ...
-enterBit_select
-  File: arbiter_tb.v , 170
-  Text:  ...
-enterEnd
-  File: arbiter_tb.v , 171
-  Text: end  ...
-enterModule_item
-  File: arbiter_tb.v , 174
-  Text: arbiter U ( clk , rs ...
-enterNon_port_module_item
-  File: arbiter_tb.v , 174
-  Text: arbiter U ( clk , rs ...
-enterModule_or_generate_item
-  File: arbiter_tb.v , 174
-  Text: arbiter U ( clk , rs ...
-enterUdp_instantiation
-  File: arbiter_tb.v , 174
-  Text: arbiter U ( clk , rs ...
-enterIdentifier
-  File: arbiter_tb.v , 174
-  Text: arbiter  ...
-enterUdp_instance
-  File: arbiter_tb.v , 174
-  Text: U ( clk , rst , req3 ...
-enterName_of_instance
-  File: arbiter_tb.v , 174
-  Text: U  ...
-enterIdentifier
-  File: arbiter_tb.v , 174
-  Text: U  ...
-enterNet_lvalue
-  File: arbiter_tb.v , 175
-  Text: clk  ...
-enterPs_or_hierarchical_identifier
-  File: arbiter_tb.v , 175
-  Text: clk  ...
-enterIdentifier
-  File: arbiter_tb.v , 175
-  Text: clk  ...
-enterConstant_select
-  File: arbiter_tb.v , 175
-  Text:  ...
-enterConstant_bit_select
-  File: arbiter_tb.v , 175
-  Text:  ...
-enterExpression
-  File: arbiter_tb.v , 176
-  Text: rst  ...
-enterPrimary
-  File: arbiter_tb.v , 176
-  Text: rst  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 176
-  Text: rst  ...
-enterIdentifier
-  File: arbiter_tb.v , 176
-  Text: rst  ...
-enterExpression
-  File: arbiter_tb.v , 177
-  Text: req3  ...
-enterPrimary
-  File: arbiter_tb.v , 177
-  Text: req3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 177
-  Text: req3  ...
-enterIdentifier
-  File: arbiter_tb.v , 177
-  Text: req3  ...
-enterExpression
-  File: arbiter_tb.v , 178
-  Text: req2  ...
-enterPrimary
-  File: arbiter_tb.v , 178
-  Text: req2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 178
-  Text: req2  ...
-enterIdentifier
-  File: arbiter_tb.v , 178
-  Text: req2  ...
-enterExpression
-  File: arbiter_tb.v , 179
-  Text: req1  ...
-enterPrimary
-  File: arbiter_tb.v , 179
-  Text: req1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 179
-  Text: req1  ...
-enterIdentifier
-  File: arbiter_tb.v , 179
-  Text: req1  ...
-enterExpression
-  File: arbiter_tb.v , 180
-  Text: req0  ...
-enterPrimary
-  File: arbiter_tb.v , 180
-  Text: req0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 180
-  Text: req0  ...
-enterIdentifier
-  File: arbiter_tb.v , 180
-  Text: req0  ...
-enterExpression
-  File: arbiter_tb.v , 181
-  Text: gnt3  ...
-enterPrimary
-  File: arbiter_tb.v , 181
-  Text: gnt3  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 181
-  Text: gnt3  ...
-enterIdentifier
-  File: arbiter_tb.v , 181
-  Text: gnt3  ...
-enterExpression
-  File: arbiter_tb.v , 182
-  Text: gnt2  ...
-enterPrimary
-  File: arbiter_tb.v , 182
-  Text: gnt2  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 182
-  Text: gnt2  ...
-enterIdentifier
-  File: arbiter_tb.v , 182
-  Text: gnt2  ...
-enterExpression
-  File: arbiter_tb.v , 183
-  Text: gnt1  ...
-enterPrimary
-  File: arbiter_tb.v , 183
-  Text: gnt1  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 183
-  Text: gnt1  ...
-enterIdentifier
-  File: arbiter_tb.v , 183
-  Text: gnt1  ...
-enterExpression
-  File: arbiter_tb.v , 184
-  Text: gnt0  ...
-enterPrimary
-  File: arbiter_tb.v , 184
-  Text: gnt0  ...
-enterPrimary_literal
-  File: arbiter_tb.v , 184
-  Text: gnt0  ...
-enterIdentifier
-  File: arbiter_tb.v , 184
-  Text: gnt0  ...
-enterEndmodule
-  File: arbiter_tb.v , 187
-  Text: endmodule  ...
-enterTop_level_rule
-  File: dff.v , 8
-  Text: module dff_async_res ...
-enterNull_rule
-  File: dff.v , 8
-  Text:  ...
-enterSource_text
-  File: dff.v , 8
-  Text: module dff_async_res ...
-enterDescription
-  File: dff.v , 8
-  Text: module dff_async_res ...
-enterModule_declaration
-  File: dff.v , 8
-  Text: module dff_async_res ...
-enterModule_nonansi_header
-  File: dff.v , 8
-  Text: module dff_async_res ...
-enterModule_keyword
-  File: dff.v , 8
-  Text: module  ...
-enterIdentifier
-  File: dff.v , 8
-  Text: dff_async_reset  ...
-enterList_of_ports
-  File: dff.v , 8
-  Text: ( data , clk , reset ...
-enterPort
-  File: dff.v , 9
-  Text: data  ...
-enterPort_expression
-  File: dff.v , 9
-  Text: data  ...
-enterPort_reference
-  File: dff.v , 9
-  Text: data  ...
-enterIdentifier
-  File: dff.v , 9
-  Text: data  ...
-enterConstant_select
-  File: dff.v , 9
-  Text:  ...
-enterConstant_bit_select
-  File: dff.v , 9
-  Text:  ...
-enterPort
-  File: dff.v , 10
-  Text: clk  ...
-enterPort_expression
-  File: dff.v , 10
-  Text: clk  ...
-enterPort_reference
-  File: dff.v , 10
-  Text: clk  ...
-enterIdentifier
-  File: dff.v , 10
-  Text: clk  ...
-enterConstant_select
-  File: dff.v , 10
-  Text:  ...
-enterConstant_bit_select
-  File: dff.v , 10
-  Text:  ...
-enterPort
-  File: dff.v , 11
-  Text: reset  ...
-enterPort_expression
-  File: dff.v , 11
-  Text: reset  ...
-enterPort_reference
-  File: dff.v , 11
-  Text: reset  ...
-enterIdentifier
-  File: dff.v , 11
-  Text: reset  ...
-enterConstant_select
-  File: dff.v , 11
-  Text:  ...
-enterConstant_bit_select
-  File: dff.v , 11
-  Text:  ...
-enterPort
-  File: dff.v , 12
-  Text: q  ...
-enterPort_expression
-  File: dff.v , 12
-  Text: q  ...
-enterPort_reference
-  File: dff.v , 12
-  Text: q  ...
-enterIdentifier
-  File: dff.v , 12
-  Text: q  ...
-enterConstant_select
-  File: dff.v , 13
-  Text:  ...
-enterConstant_bit_select
-  File: dff.v , 13
-  Text:  ...
-enterModule_item
-  File: dff.v , 15
-  Text: input data , clk , r ...
-enterPort_declaration
-  File: dff.v , 15
-  Text: input data , clk , r ...
-enterInput_declaration
-  File: dff.v , 15
-  Text: input data , clk , r ...
-enterNet_port_type
-  File: dff.v , 15
-  Text:  ...
-enterData_type_or_implicit
-  File: dff.v , 15
-  Text:  ...
-enterList_of_port_identifiers
-  File: dff.v , 15
-  Text: data , clk , reset  ...
-enterIdentifier
-  File: dff.v , 15
-  Text: data  ...
-enterIdentifier
-  File: dff.v , 15
-  Text: clk  ...
-enterIdentifier
-  File: dff.v , 15
-  Text: reset  ...
-enterModule_item
-  File: dff.v , 18
-  Text: output q ;  ...
-enterPort_declaration
-  File: dff.v , 18
-  Text: output q  ...
-enterOutput_declaration
-  File: dff.v , 18
-  Text: output q  ...
-enterNet_port_type
-  File: dff.v , 18
-  Text:  ...
-enterData_type_or_implicit
-  File: dff.v , 18
-  Text:  ...
-enterList_of_port_identifiers
-  File: dff.v , 18
-  Text: q  ...
-enterIdentifier
-  File: dff.v , 18
-  Text: q  ...
-enterModule_item
-  File: dff.v , 21
-  Text: reg q ;  ...
-enterNon_port_module_item
-  File: dff.v , 21
-  Text: reg q ;  ...
-enterModule_or_generate_item
-  File: dff.v , 21
-  Text: reg q ;  ...
-enterModule_common_item
-  File: dff.v , 21
-  Text: reg q ;  ...
-enterModule_or_generate_item_declaration
-  File: dff.v , 21
-  Text: reg q ;  ...
-enterPackage_or_generate_item_declaration
-  File: dff.v , 21
-  Text: reg q ;  ...
-enterData_declaration
-  File: dff.v , 21
-  Text: reg q ;  ...
-enterVariable_declaration
-  File: dff.v , 21
-  Text: reg q ;  ...
-enterData_type
-  File: dff.v , 21
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: dff.v , 21
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: dff.v , 21
-  Text: q  ...
-enterVariable_decl_assignment
-  File: dff.v , 21
-  Text: q  ...
-enterIdentifier
-  File: dff.v , 21
-  Text: q  ...
-enterModule_item
-  File: dff.v , 24
-  Text: always @ ( posedge c ...
-enterNon_port_module_item
-  File: dff.v , 24
-  Text: always @ ( posedge c ...
-enterModule_or_generate_item
-  File: dff.v , 24
-  Text: always @ ( posedge c ...
-enterModule_common_item
-  File: dff.v , 24
-  Text: always @ ( posedge c ...
-enterAlways_construct
-  File: dff.v , 24
-  Text: always @ ( posedge c ...
-enterAlwaysKeywd_Always
-  File: dff.v , 24
-  Text: always  ...
-enterStatement
-  File: dff.v , 24
-  Text: @ ( posedge clk or n ...
-enterStatement_item
-  File: dff.v , 24
-  Text: @ ( posedge clk or n ...
-enterProcedural_timing_control_statement
-  File: dff.v , 24
-  Text: @ ( posedge clk or n ...
-enterProcedural_timing_control
-  File: dff.v , 24
-  Text: @ ( posedge clk or n ...
-enterEvent_control
-  File: dff.v , 24
-  Text: @ ( posedge clk or n ...
-enterEvent_expression
-  File: dff.v , 24
-  Text: posedge clk or neged ...
-enterEvent_expression
-  File: dff.v , 24
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: dff.v , 24
-  Text: posedge  ...
-enterExpression
-  File: dff.v , 24
-  Text:[INFO :PY0400] Processing source file "encoder.v".
-
- clk  ...
-enterPrimary
-  File: dff.v , 24
-  Text: clk  ...
-enterPrimary_literal
-  File: dff.v , 24
-  Text: clk  ...
-enterIdentifier
-  File: dff.v , 24
-  Text: clk  ...
-enterEvent_expression
-  File: dff.v , 24
-  Text: negedge reset  ...
-enterEdge_Negedge
-  File: dff.v , 24
-  Text: negedge  ...
-enterExpression
-  File: dff.v , 24
-  Text: reset  ...
-enterPrimary
-  File: dff.v , 24
-  Text: reset  ...
-enterPrimary_literal
-  File: dff.v , 24
-  Text: reset  ...
-enterIdentifier
-  File: dff.v , 24
-  Text: reset  ...
-enterStatement_or_null
-  File: dff.v , 25
-  Text: if ( ~ reset ) begin ...
-enterStatement
-  File: dff.v , 25
-  Text: if ( ~ reset ) begin ...
-enterStatement_item
-  File: dff.v , 25
-  Text: if ( ~ reset ) begin ...
-enterConditional_statement
-  File: dff.v , 25
-  Text: if ( ~ reset ) begin ...
-enterCond_predicate
-  File: dff.v , 25
-  Text: ~ reset  ...
-enterExpression_or_cond_pattern
-  File: dff.v , 25
-  Text: ~ reset  ...
-enterExpression
-  File: dff.v , 25
-  Text: ~ reset  ...
-enterUnary_Tilda
-  File: dff.v , 25
-  Text: ~  ...
-enterPrimary
-  File: dff.v , 25
-  Text: reset  ...
-enterPrimary_literal
-  File: dff.v , 25
-  Text: reset  ...
-enterIdentifier
-  File: dff.v , 25
-  Text: reset  ...
-enterStatement_or_null
-  File: dff.v , 25
-  Text: begin q <= 1'b0 ; en ...
-enterStatement
-  File: dff.v , 25
-  Text: begin q <= 1'b0 ; en ...
-enterStatement_item
-  File: dff.v , 25
-  Text: begin q <= 1'b0 ; en ...
-enterSeq_block
-  File: dff.v , 25
-  Text: begin q <= 1'b0 ; en ...
-enterStatement_or_null
-  File: dff.v , 26
-  Text: q <= 1'b0 ;  ...
-enterStatement
-  File: dff.v , 26
-  Text: q <= 1'b0 ;  ...
-enterStatement_item
-  File: dff.v , 26
-  Text: q <= 1'b0 ;  ...
-enterNonblocking_assignment
-  File: dff.v , 26
-  Text: q <= 1'b0  ...
-enterVariable_lvalue
-  File: dff.v , 26
-  Text: q  ...
-enterHierarchical_identifier
-  File: dff.v , 26
-  Text: q  ...
-enterSelect
-  File: dff.v , 26
-  Text:  ...
-enterBit_select
-  File: dff.v , 26
-  Text:  ...
-enterExpression
-  File: dff.v , 26
-  Text: 1'b0  ...
-enterPrimary
-  File: dff.v , 26
-  Text: 1'b0  ...
-enterPrimary_literal
-  File: dff.v , 26
-  Text: 1'b0  ...
-enterNumber_1Tickb0
-  File: dff.v , 26
-  Text: 1'b0  ...
-enterEnd
-  File: dff.v , 27
-  Text: end  ...
-enterStatement_or_null
-  File: dff.v , 27
-  Text: begin q <= data ; en ...
-enterStatement
-  File: dff.v , 27
-  Text: begin q <= data ; en ...
-enterStatement_item
-  File: dff.v , 27
-  Text: begin q <= data ; en ...
-enterSeq_block
-  File: dff.v , 27
-  Text: begin q <= data ; en ...
-enterStatement_or_null
-  File: dff.v , 28
-  Text: q <= data ;  ...
-enterStatement
-  File: dff.v , 28
-  Text: q <= data ;  ...
-enterStatement_item
-  File: dff.v , 28
-  Text: q <= data ;  ...
-enterNonblocking_assignment
-  File: dff.v , 28
-  Text: q <= data  ...
-enterVariable_lvalue
-  File: dff.v , 28
-  Text: q  ...
-enterHierarchical_identifier
-  File: dff.v , 28
-  Text: q  ...
-enterSelect
-  File: dff.v , 28
-  Text:  ...
-enterBit_select
-  File: dff.v , 28
-  Text:  ...
-enterExpression
-  File: dff.v , 28
-  Text: data  ...
-enterPrimary
-  File: dff.v , 28
-  Text: data  ...
-enterPrimary_literal
-  File: dff.v , 28
-  Text: data  ...
-enterIdentifier
-  File: dff.v , 28
-  Text: data  ...
-enterEnd
-  File: dff.v , 29
-  Text: end  ...
-enterEndmodule
-  File: dff.v , 31
-  Text: endmodule  ...
-enterTop_level_rule
-  File: encoder.v , 7
-  Text: module encoder_using ...
-enterNull_rule
-  File: encoder.v , 7
-  Text:  ...
-enterSource_text
-  File: encoder.v , 7
-  Text: module encoder_using ...
-enterDescription
-  File: encoder.v , 7
-  Text: module encoder_using ...
-enterModule_declaration
-  File: encoder.v , 7
-  Text: module encoder_using ...
-enterModule_nonansi_header
-  File: encoder.v , 7
-  Text: module encoder_using ...
-enterModule_keyword
-  File: encoder.v , 7
-  Text: module  ...
-enterIdentifier
-  File: encoder.v , 7
-  Text: encoder_using_case  ...
-enterList_of_ports
-  File: encoder.v , 7
-  Text: ( binary_out , encod ...
-enterPort
-  File: encoder.v , 8
-  Text: binary_out  ...
-enterPort_expression
-  File: encoder.v , 8
-  Text: binary_out  ...
-enterPort_reference
-  File: encoder.v , 8
-  Text: binary_out  ...
-enterIdentifier
-  File: encoder.v , 8
-  Text: binary_out  ...
-enterConstant_select
-  File: encoder.v , 8
-  Text:  ...
-enterConstant_bit_select
-  File: encoder.v , 8
-  Text:  ...
-enterPort
-  File: encoder.v , 9
-  Text: encoder_in  ...
-enterPort_expression
-  File: encoder.v , 9
-  Text: encoder_in  ...
-enterPort_reference
-  File: encoder.v , 9
-  Text: encoder_in  ...
-enterIdentifier
-  File: encoder.v , 9
-  Text: encoder_in  ...
-enterConstant_select
-  File: encoder.v , 9
-  Text:  ...
-enterConstant_bit_select
-  File: encoder.v , 9
-  Text:  ...
-enterPort
-  File: encoder.v , 10
-  Text: enable  ...
-enterPort_expression
-  File: encoder.v , 10
-  Text: enable  ...
-enterPort_reference
-  File: encoder.v , 10
-  Text: enable  ...
-enterIdentifier
-  File: encoder.v , 10
-  Text: enable  ...
-enterConstant_select
-  File: encoder.v , 11
-  Text:  ...
-enterConstant_bit_select
-  File: encoder.v , 11
-  Text:  ...
-enterModule_item
-  File: encoder.v , 12
-  Text: output [ 3 : 0 ] bin ...
-enterPort_declaration
-  File: encoder.v , 12
-  Text: output [ 3 : 0 ] bin ...
-enterOutput_declaration
-  File: encoder.v , 12
-  Text: output [ 3 : 0 ] bin ...
-enterNet_port_type
-  File: encoder.v , 12
-  Text: [ 3 : 0 ]  ...
-enterData_type_or_implicit
-  File: encoder.v , 12
-  Text: [ 3 : 0 ]  ...
-enterPacked_dimension
-  File: encoder.v , 12
-  Text: [ 3 : 0 ]  ...
-enterConstant_range
-  File: encoder.v , 12
-  Text: 3 : 0  ...
-enterConstant_expression
-  File: encoder.v , 12
-  Text: 3  ...
-enterConstant_primary
-  File: encoder.v , 12
-  Text: 3  ...
-enterPrimary_literal
-  File: encoder.v , 12
-  Text: 3  ...
-enterNumber_Integral
-  File: encoder.v , 12
-  Text: 3  ...
-enterConstant_expression
-  File: encoder.v , 12
-  Text: 0  ...
-enterConstant_primary
-  File: encoder.v , 12
-  Text: 0  ...
-enterPrimary_literal
-  File: encoder.v , 12
-  Text: 0  ...
-enterNumber_Integral
-  File: encoder.v , 12
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: encoder.v , 12
-  Text: binary_out  ...
-enterIdentifier
-  File: encoder.v , 12
-  Text: binary_out  ...
-enterModule_item
-  File: encoder.v , 13
-  Text: input enable ;  ...
-enterPort_declaration
-  File: encoder.v , 13
-  Text: input enable  ...
-enterInput_declaration
-  File: encoder.v , 13
-  Text: input enable  ...
-enterNet_port_type
-  File: encoder.v , 13
-  Text:  ...
-enterData_type_or_implicit
-  File: encoder.v , 13
-  Text:  ...
-enterList_of_port_identifiers
-  File: encoder.v , 13
-  Text: enable  ...
-enterIdentifier
-  File: encoder.v , 13
-  Text: enable  ...
-enterModule_item
-  File: encoder.v , 14
-  Text: input [ 15 : 0 ] enc ...
-enterPort_declaration
-  File: encoder.v , 14
-  Text: input [ 15 : 0 ] enc ...
-enterInput_declaration
-  File: encoder.v , 14
-  Text: input [ 15 : 0 ] enc ...
-enterNet_port_type
-  File: encoder.v , 14
-  Text: [ 15 : 0 ]  ...
-enterData_type_or_implicit
-  File: encoder.v , 14
-  Text: [ 15 : 0 ]  ...
-enterPacked_dimension
-  File: encoder.v , 14
-  Text: [ 15 : 0 ]  ...
-enterConstant_range
-  File: encoder.v , 14
-  Text: 15 : 0  ...
-enterConstant_expression
-  File: encoder.v , 14
-  Text: 15  ...
-enterConstant_primary
-  File: encoder.v , 14
-  Text: 15  ...
-enterPrimary_literal
-  File: encoder.v , 14
-  Text: 15  ...
-enterNumber_Integral
-  File: encoder.v , 14
-  Text: 15  ...
-enterConstant_expression
-  File: encoder.v , 14
-  Text: 0  ...
-enterConstant_primary
-  File: encoder.v , 14
-  Text: 0  ...
-enterPrimary_literal
-  File: encoder.v , 14
-  Text: 0  ...
-enterNumber_Integral
-  File: encoder.v , 14
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: encoder.v , 14
-  Text: encoder_in  ...
-enterIdentifier
-  File: encoder.v , 14
-  Text: encoder_in  ...
-enterModule_item
-  File: encoder.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterNon_port_module_item
-  File: encoder.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterModule_or_generate_item
-  File: encoder.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterModule_common_item
-  File: encoder.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterModule_or_generate_item_declaration
-  File: encoder.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterPackage_or_generate_item_declaration
-  File: encoder.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterData_declaration
-  File: encoder.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterVariable_declaration
-  File: encoder.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterData_type
-  File: encoder.v , 17
-  Text: reg [ 3 : 0 ]  ...
-enterIntVec_TypeReg
-  File: encoder.v , 17
-  Text: reg  ...
-enterPacked_dimension
-  File: encoder.v , 17
-  Text: [ 3 : 0 ]  ...
-enterConstant_range
-  File: encoder.v , 17
-  Text: 3 : 0  ...
-enterConstant_expression
-  File: encoder.v , 17
-  Text: 3  ...
-enterConstant_primary
-  File: encoder.v , 17
-  Text: 3  ...
-enterPrimary_literal
-  File: encoder.v , 17
-  Text: 3  ...
-enterNumber_Integral
-  File: encoder.v , 17
-  Text: 3  ...
-enterConstant_expression
-  File: encoder.v , 17
-  Text: 0  ...
-enterConstant_primary
-  File: encoder.v , 17
-  Text: 0  ...
-enterPrimary_literal
-  File: encoder.v , 17
-  Text: 0  ...
-enterNumber_Integral
-  File: encoder.v , 17
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: encoder.v , 17
-  Text: binary_out  ...
-enterVariable_decl_assignment
-  File: encoder.v , 17
-  Text: binary_out  ...
-enterIdentifier
-  File: encoder.v , 17
-  Text: binary_out  ...
-enterModule_item
-  File: encoder.v , 19
-  Text: always @ ( enable or ...
-enterNon_port_module_item
-  File: encoder.v , 19
-  Text: always @ ( enable or ...
-enterModule_or_generate_item
-  File: encoder.v , 19
-  Text: always @ ( enable or ...
-enterModule_common_item
-  File: encoder.v , 19
-  Text: always @ ( enable or ...
-enterAlways_construct
-  File: encoder.v , 19
-  Text: always @ ( enable or ...
-enterAlwaysKeywd_Always
-  File: encoder.v , 19
-  Text: always  ...
-enterStatement
-  File: encoder.v , 19
-  Text: @ ( enable or encode ...
-enterStatement_item
-  File: encoder.v , 19
-  Text: @ ( enable or encode ...
-enterProcedural_timing_control_statement
-  File: encoder.v , 19
-  Text: @ ( enable or encode ...
-enterProcedural_timing_control
-  File: encoder.v , 19
-  Text: @ ( enable or encode ...
-enterEvent_control
-  File: encoder.v , 19
-  Text: @ ( enable or encode ...
-enterEvent_expression
-  File: encoder.v , 19
-  Text: enable or encoder_in ...
-enterEvent_expression
-  File: encoder.v , 19
-  Text: enable  ...
-enterExpression
-  File: encoder.v , 19
-  Text: enable  ...
-enterPrimary
-  File: encoder.v , 19
-  Text: enable  ...
-enterPrimary_literal
-  File: encoder.v , 19
-  Text: enable  ...
-enterIdentifier
-  File: encoder.v , 19
-  Text: enable  ...
-enterEvent_expression
-  File: encoder.v , 19
-  Text: encoder_in  ...
-enterExpression
-  File: encoder.v , 19
-  Text: encoder_in  ...
-enterPrimary
-  File: encoder.v , 19
-  Text: encoder_in  ...
-enterPrimary_literal
-  File: encoder.v , 19
-  Text: encoder_in  ...
-enterIdentifier
-  File: encoder.v , 19
-  Text: encoder_in  ...
-enterStatement_or_null
-  File: encoder.v , 20
-  Text: begin binary_out = 0 ...
-enterStatement
-  File: encoder.v , 20
-  Text: begin binary_out = 0 ...
-enterStatement_item
-  File: encoder.v , 20
-  Text: begin binary_out = 0 ...
-enterSeq_block
-  File: encoder.v , 20
-  Text: begin binary_out = 0 ...
-enterStatement_or_null
-  File: encoder.v , 21
-  Text: binary_out = 0 ;  ...
-enterStatement
-  File: encoder.v , 21
-  Text: binary_out = 0 ;  ...
-enterStatement_item
-  File: encoder.v , 21
-  Text: binary_out = 0 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 21
-  Text: binary_out = 0  ...
-enterOperator_assignment
-  File: encoder.v , 21
-  Text: binary_out = 0  ...
-enterVariable_lvalue
-  File: encoder.v , 21
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 21
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 21
-  Text:  ...
-enterBit_select
-  File: encoder.v , 21
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 21
-  Text: =  ...
-enterExpression
-  File: encoder.v , 21
-  Text: 0  ...
-enterPrimary
-  File: encoder.v , 21
-  Text: 0  ...
-enterPrimary_literal
-  File: encoder.v , 21
-  Text: 0  ...
-enterNumber_Integral
-  File: encoder.v , 21
-  Text: 0  ...
-enterStatement_or_null
-  File: encoder.v , 22
-  Text: if ( enable ) begin  ...
-enterStatement
-  File: encoder.v , 22
-  Text: if ( enable ) begin  ...
-enterStatement_item
-  File: encoder.v , 22
-  Text: if ( enable ) begin  ...
-enterConditional_statement
-  File: encoder.v , 22
-  Text: if ( enable ) begin  ...
-enterCond_predicate
-  File: encoder.v , 22
-  Text: enable  ...
-enterExpression_or_cond_pattern
-  File: encoder.v , 22
-  Text: enable  ...
-enterExpression
-  File: encoder.v , 22
-  Text: enable  ...
-enterPrimary
-  File: encoder.v , 22
-  Text: enable  ...
-enterPrimary_literal
-  File: encoder.v , 22
-  Text: enable  ...
-enterIdentifier
-  File: encoder.v , 22
-  Text: enable  ...
-enterStatement_or_null
-  File: encoder.v , 22
-  Text: begin case ( encoder ...
-enterStatement
-  File: encoder.v , 22
-  Text: begin case ( encoder ...
-enterStatement_item
-  File: encoder.v , 22
-  Text: begin case ( encoder ...
-enterSeq_block
-  File: encoder.v , 22
-  Text: begin case ( encoder ...
-enterStatement_or_null
-  File: encoder.v , 23
-  Text: case ( encoder_in )  ...
-enterStatement
-  File: encoder.v , 23
-  Text: case ( encoder_in )  ...
-enterStatement_item
-  File: encoder.v , 23
-  Text: case ( encoder_in )  ...
-enterCase_statement
-  File: encoder.v , 23
-  Text: case ( encoder_in )  ...
-enterCaseKeyword_Case
-  File: encoder.v , 23
-  Text: case  ...
-enterExpression
-  File: encoder.v , 23
-  Text: encoder_in  ...
-enterPrimary
-  File: encoder.v , 23
-  Text: encoder_in  ...
-enterPrimary_literal
-  File: encoder.v , 23
-  Text: encoder_in  ...
-enterIdentifier
-  File: encoder.v , 23
-  Text: encoder_in  ...
-enterCase_item
-  File: encoder.v , 24
-  Text: 16'h0002 : binary_ou ...
-enterExpression
-  File: encoder.v , 24
-  Text: 16'h0002  ...
-enterPrimary
-  File: encoder.v , 24
-  Text: 16'h0002  ...
-enterPrimary_literal
-  File: encoder.v , 24
-  Text: 16'h0002  ...
-enterNumber_Integral
-  File: encoder.v , 24
-  Text: 16'h0002  ...
-enterStatement_or_null
-  File: encoder.v , 24
-  Text: binary_out = 1 ;  ...
-enterStatement
-  File: encoder.v , 24
-  Text: binary_out = 1 ;  ...
-enterStatement_item
-  File: encoder.v , 24
-  Text: binary_out = 1 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 24
-  Text: binary_out = 1  ...
-enterOperator_assignment
-  File: encoder.v , 24
-  Text: binary_out = 1  ...
-enterVariable_lvalue
-  File: encoder.v , 24
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 24
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 24
-  Text:  ...
-enterBit_select
-  File: encoder.v , 24
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 24
-  Text: =  ...
-enterExpression
-  File: encoder.v , 24
-  Text: 1  ...
-enterPrimary
-  File: encoder.v , 24
-  Text: 1  ...
-enterPrimary_literal
-  File: encoder.v , 24
-  Text: 1  ...
-enterNumber_Integral
-  File: encoder.v , 24
-  Text: 1  ...
-enterCase_item
-  File: encoder.v , 25
-  Text: 16'h0004 : binary_ou ...
-enterExpression
-  File: encoder.v , 25
-  Text: 16'h0004  ...
-enterPrimary
-  File: encoder.v , 25
-  Text: 16'h0004  ...
-enterPrimary_literal
-  File: encoder.v , 25
-  Text: 16'h0004  ...
-enterNumber_Integral
-  File: encoder.v , 25
-  Text: 16'h0004  ...
-enterStatement_or_null
-  File: encoder.v , 25
-  Text: binary_out = 2 ;  ...
-enterStatement
-  File: encoder.v , 25
-  Text: binary_out = 2 ;  ...
-enterStatement_item
-  File: encoder.v , 25
-  Text: binary_out = 2 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 25
-  Text: binary_out = 2  ...
-enterOperator_assignment
-  File: encoder.v , 25
-  Text: binary_out = 2  ...
-enterVariable_lvalue
-  File: encoder.v , 25
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 25
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 25
-  Text:  ...
-enterBit_select
-  File: encoder.v , 25
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 25
-  Text: =  ...
-enterExpression
-  File: encoder.v , 25
-  Text: 2  ...
-enterPrimary
-  File: encoder.v , 25
-  Text: 2  ...
-enterPrimary_literal
-  File: encoder.v , 25
-  Text: 2  ...
-enterNumber_Integral
-  File: encoder.v , 25
-  Text: 2  ...
-enterCase_item
-  File: encoder.v , 26
-  Text: 16'h0008 : binary_ou ...
-enterExpression
-  File: encoder.v , 26
-  Text: 16'h0008  ...
-enterPrimary
-  File: encoder.v , 26
-  Text: 16'h0008  ...
-enterPrimary_literal
-  File: encoder.v , 26
-  Text: 16'h0008  ...
-enterNumber_Integral
-  File: encoder.v , 26
-  Text: 16'h0008  ...
-enterStatement_or_null
-  File: encoder.v , 26
-  Text: binary_out = 3 ;  ...
-enterStatement
-  File: encoder.v , 26
-  Text: binary_out = 3 ;  ...
-enterStatement_item
-  File: encoder.v , 26
-  Text: binary_out = 3 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 26
-  Text: binary_out = 3  ...
-enterOperator_assignment
-  File: encoder.v , 26
-  Text: binary_out = 3  ...
-enterVariable_lvalue
-  File: encoder.v , 26
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 26
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 26
-  Text:  ...
-enterBit_select
-  File: encoder.v , 26
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 26
-  Text: =  ...
-enterExpression
-  File: encoder.v , 26
-  Text: 3  ...
-enterPrimary
-  File: encoder.v , 26
-  Text: 3  ...
-enterPrimary_literal
-  File: encoder.v , 26
-  Text: 3  ...
-enterNumber_Integral
-  File: encoder.v , 26
-  Text: 3  ...
-enterCase_item
-  File: encoder.v , 27
-  Text: 16'h0010 : binary_ou ...
-enterExpression
-  File: encoder.v , 27
-  Text: 16'h0010  ...
-enterPrimary
-  File: encoder.v , 27
-  Text: 16'h0010  ...
-enterPrimary_literal
-  File: encoder.v , 27
-  Text: 16'h0010  ...
-enterNumber_Integral
-  File: encoder.v , 27
-  Text: 16'h0010  ...
-enterStatement_or_null
-  File: encoder.v , 27
-  Text: binary_out = 4 ;  ...
-enterStatement
-  File: encoder.v , 27
-  Text: binary_out = 4 ;  ...
-enterStatement_item
-  File: encoder.v , 27
-  Text: binary_out = 4 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 27
-  Text: binary_out = 4  ...
-enterOperator_assignment
-  File: encoder.v , 27
-  Text: binary_out = 4  ...
-enterVariable_lvalue
-  File: encoder.v , 27
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 27
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 27
-  Text:  ...
-enterBit_select
-  File: encoder.v , 27
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 27
-  Text: =  ...
-enterExpression
-  File: encoder.v , 27
-  Text: 4  ...
-enterPrimary
-  File: encoder.v , 27
-  Text: 4  ...
-enterPrimary_literal
-  File: encoder.v , 27
-  Text: 4  ...
-enterNumber_Integral
-  File: encoder.v , 27
-  Text: 4  ...
-enterCase_item
-  File: encoder.v , 28
-  Text: 16'h0020 : binary_ou ...
-enterExpression
-  File: encoder.v , 28
-  Text: 16'h0020  ...
-enterPrimary
-  File: encoder.v , 28
-  Text: 16'h0020  ...
-enterPrimary_literal
-  File: encoder.v , 28
-  Text: 16'h0020  ...
-enterNumber_Integral
-  File: encoder.v , 28
-  Text: 16'h0020  ...
-enterStatement_or_null
-  File: encoder.v , 28
-  Text: binary_out = 5 ;  ...
-enterStatement
-  File: encoder.v , 28
-  Text: binary_out = 5 ;  ...
-enterStatement_item
-  File: encoder.v , 28
-  Text: binary_out = 5 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 28
-  Text: binary_out = 5  ...
-enterOperator_assignment
-  File: encoder.v , 28
-  Text: binary_out = 5  ...
-enterVariable_lvalue
-  File: encoder.v , 28
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 28
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 28
-  Text:  ...
-enterBit_select
-  File: encoder.v , 28
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 28
-  Text: =  ...
-enterExpression
-  File: encoder.v , 28
-  Text: 5  ...
-enterPrimary
-  File: encoder.v , 28
-  Text: 5  ...
-enterPrimary_literal
-  File: encoder.v , 28
-  Text: 5  ...
-enterNumber_Integral
-  File: encoder.v , 28
-  Text: 5  ...
-enterCase_item
-  File: encoder.v , 29
-  Text: 16'h0040 : binary_ou ...
-enterExpression
-  File: encoder.v , 29
-  Text: 16'h0040  ...
-enterPrimary
-  File: encoder.v , 29
-  Text: 16'h0040  ...
-enterPrimary_literal
-  File: encoder.v , 29
-  Text: 16'h0040  ...
-enterNumber_Integral
-  File: encoder.v , 29
-  Text: 16'h0040  ...
-enterStatement_or_null
-  File: encoder.v , 29
-  Text: binary_out = 6 ;  ...
-enterStatement
-  File: encoder.v , 29
-  Text: binary_out = 6 ;  ...
-enterStatement_item
-  File: encoder.v , 29
-  Text: binary_out = 6 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 29
-  Text: binary_out = 6  ...
-enterOperator_assignment
-  File: encoder.v , 29
-  Text: binary_out = 6  ...
-enterVariable_lvalue
-  File: encoder.v , 29
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 29
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 29
-  Text:  ...
-enterBit_select
-  File: encoder.v , 29
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 29
-  Text: =  ...
-enterExpression
-  File: encoder.v , 29
-  Text: 6  ...
-enterPrimary
-  File: encoder.v , 29
-  Text: 6  ...
-enterPrimary_literal
-  File: encoder.v , 29
-  Text: 6  ...
-enterNumber_Integral
-  File: encoder.v , 29
-  Text: 6  ...
-enterCase_item
-  File: encoder.v , 30
-  Text: 16'h0080 : binary_ou ...
-enterExpression
-  File: encoder.v , 30
-  Text: 16'h0080  ...
-enterPrimary
-  File: encoder.v , 30
-  Text: 16'h0080  ...
-enterPrimary_literal
-  File: encoder.v , 30
-  Text: 16'h0080  ...
-enterNumber_Integral
-  File: encoder.v , 30
-  Text: 16'h0080  ...
-enterStatement_or_null
-  File: encoder.v , 30
-  Text: binary_out = 7 ;  ...
-enterStatement
-  File: encoder.v , 30
-  Text: binary_out = 7 ;  ...
-enterStatement_item
-  File: encoder.v , 30
-  Text: binary_out = 7 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 30
-  Text: binary_out = 7  ...
-enterOperator_assignment
-  File: encoder.v , 30
-  Text: binary_out = 7  ...
-enterVariable_lvalue
-  File: encoder.v , 30
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 30
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 30
-  Text:  ...
-enterBit_select
-  File: encoder.v , 30
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 30
-  Text: =  ...
-enterExpression
-  File: encoder.v , 30
-  Text: 7  ...
-enterPrimary
-  File: encoder.v , 30
-  Text: 7  ...
-enterPrimary_literal
-  File: encoder.v , 30
-  Text: 7  ...
-enterNumber_Integral
-  File: encoder.v , 30
-  Text: 7  ...
-enterCase_item
-  File: encoder.v , 31
-  Text: 16'h0100 : binary_ou ...
-enterExpression
-  File: encoder.v , 31
-  Text: 16'h0100  ...
-enterPrimary
-  File: encoder.v , 31
-  Text: 16'h0100  ...
-enterPrimary_literal
-  File: encoder.v , 31
-  Text: 16'h0100  ...
-enterNumber_Integral
-  File: encoder.v , 31
-  Text: 16'h0100  ...
-enterStatement_or_null
-  File: encoder.v , 31
-  Text: binary_out = 8 ;  ...
-enterStatement
-  File: encoder.v , 31
-  Text: binary_out = 8 ;  ...
-enterStatement_item
-  File: encoder.v , 31
-  Text: binary_out = 8 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 31
-  Text: binary_out = 8  ...
-enterOperator_assignment
-  File: encoder.v , 31
-  Text: binary_out = 8  ...
-enterVariable_lvalue
-  File: encoder.v , 31
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 31
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 31
-  Text:  ...
-enterBit_select
-  File: encoder.v , 31
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 31
-  Text: =  ...
-enterExpression
-  File: encoder.v , 31
-  Text: 8  ...
-enterPrimary
-  File: encoder.v , 31
-  Text: 8  ...
-enterPrimary_literal
-  File: encoder.v , 31
-  Text: 8  ...
-enterNumber_Integral
-  File: encoder.v , 31
-  Text: 8  ...
-enterCase_item
-  File: encoder.v , 32
-  Text: 16'h0200 : binary_ou ...
-enterExpression
-  File: encoder.v , 32
-  Text: 16'h0200  ...
-enterPrimary
-  File: encoder.v , 32
-  Text: 16'h0200  ...
-enterPrimary_literal
-  File: encoder.v , 32
-  Text: 16'h0200  ...
-enterNumber_Integral
-  File: encoder.v , 32
-  Text: 16'h0200  ...
-enterStatement_or_null
-  File: encoder.v , 32
-  Text: binary_out = 9 ;  ...
-enterStatement
-  File: encoder.v , 32
-  Text: binary_out = 9 ;  ...
-enterStatement_item
-  File: encoder.v , 32
-  Text: binary_out = 9 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 32
-  Text: binary_out = 9  ...
-enterOperator_assignment
-  File: encoder.v , 32
-  Text: binary_out = 9  ...
-enterVariable_lvalue
-  File: encoder.v , 32
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 32
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 32
-  Text:  ...
-enterBit_select
-  File: encoder.v , 32
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 32
-  Text: =  ...
-enterExpression
-  File: encoder.v , 32
-  Text: 9  ...
-enterPrimary
-  File: encoder.v , 32
-  Text: 9  ...
-enterPrimary_literal[INFO :PY0400] Processing source file "encoder_case.v".
-
-
-  File: encoder.v , 32
-  Text: 9  ...
-enterNumber_Integral
-  File: encoder.v , 32
-  Text: 9  ...
-enterCase_item
-  File: encoder.v , 33
-  Text: 16'h0400 : binary_ou ...
-enterExpression
-  File: encoder.v , 33
-  Text: 16'h0400  ...
-enterPrimary
-  File: encoder.v , 33
-  Text: 16'h0400  ...
-enterPrimary_literal
-  File: encoder.v , 33
-  Text: 16'h0400  ...
-enterNumber_Integral
-  File: encoder.v , 33
-  Text: 16'h0400  ...
-enterStatement_or_null
-  File: encoder.v , 33
-  Text: binary_out = 10 ;  ...
-enterStatement
-  File: encoder.v , 33
-  Text: binary_out = 10 ;  ...
-enterStatement_item
-  File: encoder.v , 33
-  Text: binary_out = 10 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 33
-  Text: binary_out = 10  ...
-enterOperator_assignment
-  File: encoder.v , 33
-  Text: binary_out = 10  ...
-enterVariable_lvalue
-  File: encoder.v , 33
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 33
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 33
-  Text:  ...
-enterBit_select
-  File: encoder.v , 33
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 33
-  Text: =  ...
-enterExpression
-  File: encoder.v , 33
-  Text: 10  ...
-enterPrimary
-  File: encoder.v , 33
-  Text: 10  ...
-enterPrimary_literal
-  File: encoder.v , 33
-  Text: 10  ...
-enterNumber_Integral
-  File: encoder.v , 33
-  Text: 10  ...
-enterCase_item
-  File: encoder.v , 34
-  Text: 16'h0800 : binary_ou ...
-enterExpression
-  File: encoder.v , 34
-  Text: 16'h0800  ...
-enterPrimary
-  File: encoder.v , 34
-  Text: 16'h0800  ...
-enterPrimary_literal
-  File: encoder.v , 34
-  Text: 16'h0800  ...
-enterNumber_Integral
-  File: encoder.v , 34
-  Text: 16'h0800  ...
-enterStatement_or_null
-  File: encoder.v , 34
-  Text: binary_out = 11 ;  ...
-enterStatement
-  File: encoder.v , 34
-  Text: binary_out = 11 ;  ...
-enterStatement_item
-  File: encoder.v , 34
-  Text: binary_out = 11 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 34
-  Text: binary_out = 11  ...
-enterOperator_assignment
-  File: encoder.v , 34
-  Text: binary_out = 11  ...
-enterVariable_lvalue
-  File: encoder.v , 34
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 34
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 34
-  Text:  ...
-enterBit_select
-  File: encoder.v , 34
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 34
-  Text: =  ...
-enterExpression
-  File: encoder.v , 34
-  Text: 11  ...
-enterPrimary
-  File: encoder.v , 34
-  Text: 11  ...
-enterPrimary_literal
-  File: encoder.v , 34
-  Text: 11  ...
-enterNumber_Integral
-  File: encoder.v , 34
-  Text: 11  ...
-enterCase_item
-  File: encoder.v , 35
-  Text: 16'h1000 : binary_ou ...
-enterExpression
-  File: encoder.v , 35
-  Text: 16'h1000  ...
-enterPrimary
-  File: encoder.v , 35
-  Text: 16'h1000  ...
-enterPrimary_literal
-  File: encoder.v , 35
-  Text: 16'h1000  ...
-enterNumber_Integral
-  File: encoder.v , 35
-  Text: 16'h1000  ...
-enterStatement_or_null
-  File: encoder.v , 35
-  Text: binary_out = 12 ;  ...
-enterStatement
-  File: encoder.v , 35
-  Text: binary_out = 12 ;  ...
-enterStatement_item
-  File: encoder.v , 35
-  Text: binary_out = 12 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 35
-  Text: binary_out = 12  ...
-enterOperator_assignment
-  File: encoder.v , 35
-  Text: binary_out = 12  ...
-enterVariable_lvalue
-  File: encoder.v , 35
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 35
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 35
-  Text:  ...
-enterBit_select
-  File: encoder.v , 35
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 35
-  Text: =  ...
-enterExpression
-  File: encoder.v , 35
-  Text: 12  ...
-enterPrimary
-  File: encoder.v , 35
-  Text: 12  ...
-enterPrimary_literal
-  File: encoder.v , 35
-  Text: 12  ...
-enterNumber_Integral
-  File: encoder.v , 35
-  Text: 12  ...
-enterCase_item
-  File: encoder.v , 36
-  Text: 16'h2000 : binary_ou ...
-enterExpression
-  File: encoder.v , 36
-  Text: 16'h2000  ...
-enterPrimary
-  File: encoder.v , 36
-  Text: 16'h2000  ...
-enterPrimary_literal
-  File: encoder.v , 36
-  Text: 16'h2000  ...
-enterNumber_Integral
-  File: encoder.v , 36
-  Text: 16'h2000  ...
-enterStatement_or_null
-  File: encoder.v , 36
-  Text: binary_out = 13 ;  ...
-enterStatement
-  File: encoder.v , 36
-  Text: binary_out = 13 ;  ...
-enterStatement_item
-  File: encoder.v , 36
-  Text: binary_out = 13 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 36
-  Text: binary_out = 13  ...
-enterOperator_assignment
-  File: encoder.v , 36
-  Text: binary_out = 13  ...
-enterVariable_lvalue
-  File: encoder.v , 36
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 36
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 36
-  Text:  ...
-enterBit_select
-  File: encoder.v , 36
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 36
-  Text: =  ...
-enterExpression
-  File: encoder.v , 36
-  Text: 13  ...
-enterPrimary
-  File: encoder.v , 36
-  Text: 13  ...
-enterPrimary_literal
-  File: encoder.v , 36
-  Text: 13  ...
-enterNumber_Integral
-  File: encoder.v , 36
-  Text: 13  ...
-enterCase_item
-  File: encoder.v , 37
-  Text: 16'h4000 : binary_ou ...
-enterExpression
-  File: encoder.v , 37
-  Text: 16'h4000  ...
-enterPrimary
-  File: encoder.v , 37
-  Text: 16'h4000  ...
-enterPrimary_literal
-  File: encoder.v , 37
-  Text: 16'h4000  ...
-enterNumber_Integral
-  File: encoder.v , 37
-  Text: 16'h4000  ...
-enterStatement_or_null
-  File: encoder.v , 37
-  Text: binary_out = 14 ;  ...
-enterStatement
-  File: encoder.v , 37
-  Text: binary_out = 14 ;  ...
-enterStatement_item
-  File: encoder.v , 37
-  Text: binary_out = 14 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 37
-  Text: binary_out = 14  ...
-enterOperator_assignment
-  File: encoder.v , 37
-  Text: binary_out = 14  ...
-enterVariable_lvalue
-  File: encoder.v , 37
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 37
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 37
-  Text:  ...
-enterBit_select
-  File: encoder.v , 37
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 37
-  Text: =  ...
-enterExpression
-  File: encoder.v , 37
-  Text: 14  ...
-enterPrimary
-  File: encoder.v , 37
-  Text: 14  ...
-enterPrimary_literal
-  File: encoder.v , 37
-  Text: 14  ...
-enterNumber_Integral
-  File: encoder.v , 37
-  Text: 14  ...
-enterCase_item
-  File: encoder.v , 38
-  Text: 16'h8000 : binary_ou ...
-enterExpression
-  File: encoder.v , 38
-  Text: 16'h8000  ...
-enterPrimary
-  File: encoder.v , 38
-  Text: 16'h8000  ...
-enterPrimary_literal
-  File: encoder.v , 38
-  Text: 16'h8000  ...
-enterNumber_Integral
-  File: encoder.v , 38
-  Text: 16'h8000  ...
-enterStatement_or_null
-  File: encoder.v , 38
-  Text: binary_out = 15 ;  ...
-enterStatement
-  File: encoder.v , 38
-  Text: binary_out = 15 ;  ...
-enterStatement_item
-  File: encoder.v , 38
-  Text: binary_out = 15 ;  ...
-enterBlocking_assignment
-  File: encoder.v , 38
-  Text: binary_out = 15  ...
-enterOperator_assignment
-  File: encoder.v , 38
-  Text: binary_out = 15  ...
-enterVariable_lvalue
-  File: encoder.v , 38
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder.v , 38
-  Text: binary_out  ...
-enterSelect
-  File: encoder.v , 38
-  Text:  ...
-enterBit_select
-  File: encoder.v , 38
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder.v , 38
-  Text: =  ...
-enterExpression
-  File: encoder.v , 38
-  Text: 15  ...
-enterPrimary
-  File: encoder.v , 38
-  Text: 15  ...
-enterPrimary_literal
-  File: encoder.v , 38
-  Text: 15  ...
-enterNumber_Integral
-  File: encoder.v , 38
-  Text: 15  ...
-enterEndcase
-  File: encoder.v , 39
-  Text: endcase  ...
-enterEnd
-  File: encoder.v , 40
-  Text: end  ...
-enterEnd
-  File: encoder.v , 41
-  Text: end  ...
-enterEndmodule
-  File: encoder.v , 43
-  Text: endmodule  ...
-enterTop_level_rule
-  File: encoder_case.v , 8
-  Text: module encoder_using ...
-enterNull_rule
-  File: encoder_case.v , 8
-  Text:  ...
-enterSource_text
-  File: encoder_case.v , 8
-  Text: module encoder_using ...
-enterDescription
-  File: encoder_case.v , 8
-  Text: module encoder_using ...
-enterModule_declaration
-  File: encoder_case.v , 8
-  Text: module encoder_using ...
-enterModule_nonansi_header
-  File: encoder_case.v , 8
-  Text: module encoder_using ...
-enterModule_keyword
-  File: encoder_case.v , 8
-  Text: module  ...
-enterIdentifier
-  File: encoder_case.v , 8
-  Text: encoder_using_case  ...
-enterList_of_ports
-  File: encoder_case.v , 8
-  Text: ( binary_out , encod ...
-enterPort
-  File: encoder_case.v , 9
-  Text: binary_out  ...
-enterPort_expression
-  File: encoder_case.v , 9
-  Text: binary_out  ...
-enterPort_reference
-  File: encoder_case.v , 9
-  Text: binary_out  ...
-enterIdentifier
-  File: encoder_case.v , 9
-  Text: binary_out  ...
-enterConstant_select
-  File: encoder_case.v , 9
-  Text:  ...
-enterConstant_bit_select
-  File: encoder_case.v , 9
-  Text:  ...
-enterPort
-  File: encoder_case.v , 10
-  Text: encoder_in  ...
-enterPort_expression
-  File: encoder_case.v , 10
-  Text: encoder_in  ...
-enterPort_reference
-  File: encoder_case.v , 10
-  Text: encoder_in  ...
-enterIdentifier
-  File: encoder_case.v , 10
-  Text: encoder_in  ...
-enterConstant_select
-  File: encoder_case.v , 10
-  Text:  ...
-enterConstant_bit_select
-  File: encoder_case.v , 10
-  Text:  ...
-enterPort
-  File: encoder_case.v , 11
-  Text: enable  ...
-enterPort_expression
-  File: encoder_case.v , 11
-  Text: enable  ...
-enterPort_reference
-  File: encoder_case.v , 11
-  Text: enable  ...
-enterIdentifier
-  File: encoder_case.v , 11
-  Text: enable  ...
-enterConstant_select
-  File: encoder_case.v , 12
-  Text:  ...
-enterConstant_bit_select
-  File: encoder_case.v , 12
-  Text:  ...
-enterModule_item
-  File: encoder_case.v , 13
-  Text: output [ 3 : 0 ] bin ...
-enterPort_declaration
-  File: encoder_case.v , 13
-  Text: output [ 3 : 0 ] bin ...
-enterOutput_declaration
-  File: encoder_case.v , 13
-  Text: output [ 3 : 0 ] bin ...
-enterNet_port_type
-  File: encoder_case.v , 13
-  Text: [ 3 : 0 ]  ...
-enterData_type_or_implicit
-  File: encoder_case.v , 13
-  Text: [ 3 : 0 ]  ...
-enterPacked_dimension
-  File: encoder_case.v , 13
-  Text: [ 3 : 0 ]  ...
-enterConstant_range
-  File: encoder_case.v , 13
-  Text: 3 : 0  ...
-enterConstant_expression
-  File: encoder_case.v , 13
-  Text: 3  ...
-enterConstant_primary
-  File: encoder_case.v , 13
-  Text: 3  ...
-enterPrimary_literal
-  File: encoder_case.v , 13
-  Text: 3  ...
-enterNumber_Integral
-  File: encoder_case.v , 13
-  Text: 3  ...
-enterConstant_expression
-  File: encoder_case.v , 13
-  Text: 0  ...
-enterConstant_primary
-  File: encoder_case.v , 13
-  Text: 0  ...
-enterPrimary_literal
-  File: encoder_case.v , 13
-  Text: 0  ...
-enterNumber_Integral
-  File: encoder_case.v , 13
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: encoder_case.v , 13
-  Text: binary_out  ...
-enterIdentifier
-  File: encoder_case.v , 13
-  Text: binary_out  ...
-enterModule_item
-  File: encoder_case.v , 14
-  Text: input enable ;  ...
-enterPort_declaration
-  File: encoder_case.v , 14
-  Text: input enable  ...
-enterInput_declaration
-  File: encoder_case.v , 14
-  Text: input enable  ...
-enterNet_port_type
-  File: encoder_case.v , 14
-  Text:  ...
-enterData_type_or_implicit
-  File: encoder_case.v , 14
-  Text:  ...
-enterList_of_port_identifiers
-  File: encoder_case.v , 14
-  Text: enable  ...
-enterIdentifier
-  File: encoder_case.v , 14
-  Text: enable  ...
-enterModule_item
-  File: encoder_case.v , 15
-  Text: input [ 15 : 0 ] enc ...
-enterPort_declaration
-  File: encoder_case.v , 15
-  Text: input [ 15 : 0 ] enc ...
-enterInput_declaration
-  File: encoder_case.v , 15
-  Text: input [ 15 : 0 ] enc ...
-enterNet_port_type
-  File: encoder_case.v , 15
-  Text: [ 15 : 0 ]  ...
-enterData_type_or_implicit
-  File: encoder_case.v , 15
-  Text: [ 15 : 0 ]  ...
-enterPacked_dimension
-  File: encoder_case.v , 15
-  Text: [ 15 : 0 ]  ...
-enterConstant_range
-  File: encoder_case.v , 15
-  Text: 15 : 0  ...
-enterConstant_expression
-  File: encoder_case.v , 15
-  Text: 15  ...
-enterConstant_primary
-  File: encoder_case.v , 15
-  Text: 15  ...
-enterPrimary_literal
-  File: encoder_case.v , 15
-  Text: 15  ...
-enterNumber_Integral
-  File: encoder_case.v , 15
-  Text: 15  ...
-enterConstant_expression
-  File: encoder_case.v , 15
-  Text: 0  ...
-enterConstant_primary
-  File: encoder_case.v , 15
-  Text: 0  ...
-enterPrimary_literal
-  File: encoder_case.v , 15
-  Text: 0  ...
-enterNumber_Integral
-  File: encoder_case.v , 15
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: encoder_case.v , 15
-  Text: encoder_in  ...
-enterIdentifier
-  File: encoder_case.v , 15
-  Text: encoder_in  ...
-enterModule_item
-  File: encoder_case.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterNon_port_module_item
-  File: encoder_case.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterModule_or_generate_item
-  File: encoder_case.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterModule_common_item
-  File: encoder_case.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterModule_or_generate_item_declaration
-  File: encoder_case.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterPackage_or_generate_item_declaration
-  File: encoder_case.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterData_declaration
-  File: encoder_case.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterVariable_declaration
-  File: encoder_case.v , 17
-  Text: reg [ 3 : 0 ] binary ...
-enterData_type
-  File: encoder_case.v , 17
-  Text: reg [ 3 : 0 ]  ...
-enterIntVec_TypeReg
-  File: encoder_case.v , 17
-  Text: reg  ...
-enterPacked_dimension
-  File: encoder_case.v , 17
-  Text: [ 3 : 0 ]  ...
-enterConstant_range
-  File: encoder_case.v , 17
-  Text: 3 : 0  ...
-enterConstant_expression
-  File: encoder_case.v , 17
-  Text: 3  ...
-enterConstant_primary
-  File: encoder_case.v , 17
-  Text: 3  ...
-enterPrimary_literal
-  File: encoder_case.v , 17
-  Text: 3  ...
-enterNumber_Integral
-  File: encoder_case.v , 17
-  Text: 3  ...
-enterConstant_expression
-  File: encoder_case.v , 17
-  Text: 0  ...
-enterConstant_primary
-  File: encoder_case.v , 17
-  Text: 0  ...
-enterPrimary_literal
-  File: encoder_case.v , 17
-  Text: 0  ...
-enterNumber_Integral
-  File: encoder_case.v , 17
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: encoder_case.v , 17
-  Text: binary_out  ...
-enterVariable_decl_assignment
-  File: encoder_case.v , 17
-  Text: binary_out  ...
-enterIdentifier
-  File: encoder_case.v , 17
-  Text: binary_out  ...
-enterModule_item
-  File: encoder_case.v , 19
-  Text: always @ ( enable or ...
-enterNon_port_module_item
-  File: encoder_case.v , 19
-  Text: always @ ( enable or ...
-enterModule_or_generate_item
-  File: encoder_case.v , 19
-  Text: always @ ( enable or ...
-enterModule_common_item
-  File: encoder_case.v , 19
-  Text: always @ ( enable or ...
-enterAlways_construct
-  File: encoder_case.v , 19
-  Text: always @ ( enable or ...
-enterAlwaysKeywd_Always
-  File: encoder_case.v , 19
-  Text: always  ...
-enterStatement
-  File: encoder_case.v , 19
-  Text: @ ( enable or encode ...
-enterStatement_item
-  File: encoder_case.v , 19
-  Text: @ ( enable or encode ...
-enterProcedural_timing_control_statement
-  File: encoder_case.v , 19
-  Text: @ ( enable or encode ...
-enterProcedural_timing_control
-  File: encoder_case.v , 19
-  Text: @ ( enable or encode ...
-enterEvent_control
-  File: encoder_case.v , 19
-  Text: @ ( enable or encode ...
-enterEvent_expression
-  File: encoder_case.v , 19
-  Text: enable or encoder_in ...
-enterEvent_expression
-  File: encoder_case.v , 19
-  Text: enable  ...
-enterExpression
-  File: encoder_case.v , 19
-  Text: enable  ...
-enterPrimary
-  File: encoder_case.v , 19
-  Text: enable  ...
-enterPrimary_literal
-  File: encoder_case.v , 19
-  Text: enable  ...
-enterIdentifier
-  File: encoder_case.v , 19
-  Text: enable  ...
-enterEvent_expression
-  File: encoder_case.v , 19
-  Text: encoder_in  ...
-enterExpression
-  File: encoder_case.v , 19
-  Text: encoder_in  ...
-enterPrimary
-  File: encoder_case.v , 19
-  Text: encoder_in  ...
-enterPrimary_literal
-  File: encoder_case.v , 19
-  Text: encoder_in  ...
-enterIdentifier
-  File: encoder_case.v , 19
-  Text: encoder_in  ...
-enterStatement_or_null
-  File: encoder_case.v , 20
-  Text: begin binary_out = 0 ...
-enterStatement
-  File: encoder_case.v , 20
-  Text: begin binary_out = 0 ...
-enterStatement_item
-  File: encoder_case.v , 20
-  Text: begin binary_out = 0 ...
-enterSeq_block
-  File: encoder_case.v , 20
-  Text: begin binary_out = 0 ...
-enterStatement_or_null
-  File: encoder_case.v , 21
-  Text: binary_out = 0 ;  ...
-enterStatement
-  File: encoder_case.v , 21
-  Text: binary_out = 0 ;  ...
-enterStatement_item
-  File: encoder_case.v , 21
-  Text: binary_out = 0 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 21
-  Text: binary_out = 0  ...
-enterOperator_assignment
-  File: encoder_case.v , 21
-  Text: binary_out = 0  ...
-enterVariable_lvalue
-  File: encoder_case.v , 21
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 21
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 21
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 21
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 21
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 21
-  Text: 0  ...
-enterPrimary
-  File: encoder_case.v , 21
-  Text: 0  ...
-enterPrimary_literal
-  File: encoder_case.v , 21
-  Text: 0  ...
-enterNumber_Integral
-  File: encoder_case.v , 21
-  Text: 0  ...
-enterStatement_or_null
-  File: encoder_case.v , 22
-  Text: if ( enable ) begin  ...
-enterStatement
-  File: encoder_case.v , 22
-  Text: if ( enable ) begin  ...
-enterStatement_item
-  File: encoder_case.v , 22
-  Text: if ( enable ) begin  ...
-enterConditional_statement
-  File: encoder_case.v , 22
-  Text: if ( enable ) begin  ...
-enterCond_predicate
-  File: encoder_case.v , 22
-  Text: enable  ...
-enterExpression_or_cond_pattern
-  File: encoder_case.v , 22
-  Text: enable  ...
-enterExpression
-  File: encoder_case.v , 22
-  Text: enable  ...
-enterPrimary
-  File: encoder_case.v , 22
-  Text: enable  ...
-enterPrimary_literal
-  File: encoder_case.v , 22
-  Text: enable  ...
-enterIdentifier
-  File: encoder_case.v , 22
-  Text: enable  ...
-enterStatement_or_null
-  File: encoder_case.v , 22
-  Text: begin case ( encoder ...
-enterStatement
-  File: encoder_case.v , 22
-  Text: begin case ( encoder ...
-enterStatement_item
-  File: encoder_case.v , 22
-  Text: begin case ( encoder ...
-enterSeq_block
-  File: encoder_case.v , 22
-  Text: begin case ( encoder ...
-enterStatement_or_null
-  File: encoder_case.v , 23
-  Text: case ( encoder_in )  ...
-enterStatement
-  File: encoder_case.v , 23
-  Text: case ( encoder_in )  ...
-enterStatement_item
-  File: encoder_case.v , 23
-  Text: case ( encoder_in )  ...
-enterCase_statement
-  File: encoder_case.v , 23
-  Text: case ( encoder_in )  ...
-enterCaseKeyword_Case
-  File: encoder_case.v , 23
-  Text: case  ...
-enterExpression
-  File: encoder_case.v , 23
-  Text: encoder_in  ...
-enterPrimary
-  File: encoder_case.v , 23
-  Text: encoder_in  ...
-enterPrimary_literal
-  File: encoder_case.v , 23
-  Text: encoder_in  ...
-enterIdentifier
-  File: encoder_case.v , 23
-  Text: encoder_in  ...
-enterCase_item
-  File: encoder_case.v , 24
-  Text: 16'h0002 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 24
-  Text: 16'h0002  ...
-enterPrimary
-  File: encoder_case.v , 24
-  Text: 16'h0002  ...
-enterPrimary_literal
-  File: encoder_case.v , 24
-  Text: 16'h0002  ...
-enterNumber_Integral
-  File: encoder_case.v , 24
-  Text: 16'h0002  ...
-enterStatement_or_null
-  File: encoder_case.v , 24
-  Text: binary_out = 1 ;  ...
-enterStatement
-  File: encoder_case.v , 24
-  Text: binary_out = 1 ;  ...
-enterStatement_item
-  File: encoder_case.v , 24
-  Text: binary_out = 1 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 24
-  Text: binary_out = 1  ...
-enterOperator_assignment
-  File: encoder_case.v , 24
-  Text: binary_out = 1  ...
-enterVariable_lvalue
-  File: encoder_case.v , 24
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 24
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 24
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 24
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 24
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 24
-  Text: 1  ...
-enterPrimary
-  File: encoder_case.v , 24
-  Text: 1  ...
-enterPrimary_literal
-  File: encoder_case.v , 24
-  Text: 1  ...
-enterNumber_Integral
-  File: encoder_case.v , 24
-  Text: 1  ...
-enterCase_item
-  File: encoder_case.v , 25
-  Text: 16'h0004 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 25
-  Text: 16'h0004  ...
-enterPrimary
-  File: encoder_case.v , 25
-  Text: 16'h0004  ...
-enterPrimary_literal
-  File: encoder_case.v , 25
-  Text: 16'h0004  ...
-enterNumber_Integral
-  File: encoder_case.v , 25
-  Text: 16'h0004  ...
-enterStatement_or_null
-  File: encoder_case.v , 25
-  Text: binary_out = 2 ;  ...
-enterStatement
-  File: encoder_case.v , 25
-  Text: binary_out = 2 ;  ...
-enterStatement_item
-  File: encoder_case.v , 25
-  Text: binary_out = 2 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 25
-  Text: binary_out = 2  ...
-enterOperator_assignment
-  File: encoder_case.v , 25
-  Text: binary_out = 2  ...
-enterVariable_lvalue
-  File: encoder_case.v , 25
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 25
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 25
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 25
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 25
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 25
-  Text: 2  ...
-enterPrimary
-  File: encoder_case.v , 25
-  Text: 2  ...
-enterPrimary_literal
-  File: encoder_case.v , 25
-  Text: 2  ...
-enterNumber_Integral
-  File: encoder_case.v , 25
-  Text: 2  ...
-enterCase_item
-  File: encoder_case.v , 26
-  Text: 16'h0008 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 26
-  Text: 16'h0008  ...
-enterPrimary
-  File: encoder_case.v , 26
-  Text: 16'h0008  ...
-enterPrimary_literal
-  File: encoder_case.v , 26
-  Text: 16'h0008  ...
-enterNumber_Integral
-  File: encoder_case.v , 26
-  Text: 16'h0008  ...
-enterStatement_or_null
-  File: encoder_case.v , 26
-  Text: binary_out = 3 ;  ...
-enterStatement
-  File: encoder_case.v , 26
-  Text: binary_out = 3 ;  ...
-enterStatement_item
-  File: encoder_case.v , 26
-  Text: binary_out = 3 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 26
-  Text: binary_out = 3  ...
-enterOperator_assignment
-  File: encoder_case.v , 26
-  Text: binary_out = 3  ...
-enterVariable_lvalue
-  File: encoder_case.v , 26
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 26
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 26
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 26
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 26
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 26
-  Text: 3  ...
-enterPrimary
-  File: encoder_case.v , 26
-  Text: 3  ...
-enterPrimary_literal
-  File: encoder_case.v , 26
-  Text: 3  ...
-enterNumber_Integral
-  File: encoder_case.v , 26
-  Text: 3  ...
-enterCase_item
-  File: encoder_case.v , 27
-  Text: 16'h0010 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 27
-  Text: 16'h0010  ...
-enterPrimary
-  File: encoder_case.v , 27
-  Text: 16'h0010  ...
-enterPrimary_literal
-  File: encoder_case.v , 27
-  Text: 16'h0010  ...
-enterNumber_Integral
-  File: encoder_case.v , 27
-  Text: 16'h0010  ...
-enterStatement_or_null
-  File: encoder_case.v , 27
-  Text: binary_out = 4 ;  ...
-enterStatement
-  File: encoder_case.v , 27
-  Text: binary_out = 4 ;  ...
-enterStatement_item
-  File: encoder_case.v , 27
-  Text: binary_out = 4 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 27
-  Text: binary_out = 4  ...
-enterOperator_assignment
-  File: encoder_case.v , 27
-  Text: binary_out = 4  ...
-enterVariable_lvalue
-  File: encoder_case.v , 27
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 27
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 27
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 27
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 27
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 27
-  Text: 4  ...
-enterPrimary
-  File: encoder_case.v , 27
-  Text: 4  ...
-enterPrimary_literal
-  File: encoder_case.v , 27
-  Text: 4  ...
-enterNumber_Integral
-  File: encoder_case.v , 27
-  Text: 4  ...
-enterCase_item
-  File: encoder_case.v , 28
-  Text: 16'h0020 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 28
-  Text: 16'h0020  ...
-enterPrimary
-  File: encoder_case.v , 28
-  Text: 16'h0020  ...
-enterPrimary_literal
-  File: encoder_case.v , 28
-  Text: 16'h0020  ...
-enterNumber_Integral
-  File: encoder_case.v , 28
-  Text: 16'h0020  ...
-enterStatement_or_null
-  File: encoder_case.v , 28
-  Text: binary_out = 5 ;  ...
-enterStatement
-  File: encoder_case.v , 28
-  Text: binary_out = 5 ;  ...
-enterStatement_item
-  File: encoder_case.v , 28
-  Text: binary_out = 5 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 28
-  Text: binary_out = 5  ...
-enterOperator_assignment
-  File: encoder_case.v , 28
-  Text: binary_out = 5  ...
-enterVariable_lvalue
-  File: encoder_case.v , 28
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 28
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 28
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 28
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 28
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 28
-  Text: 5  ...
-enterPrimary
-  File: encoder_case.v , 28
-  Text: 5  ...
-enterPrimary_literal
-  File: encoder_case.v , 28
-  Text: 5  ...
-enterNumber_Integral
-  File: encoder_case.v , 28
-  Text: 5  ...
-enterCase_item
-  File: encoder_case.v , 29
-  Text: 16'h0040 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 29
-  Text: 16'h0040  ...
-enterPrimary
-  File: encoder_case.v , 29
-  Text: 16'h0040  ...
-enterPrimary_literal
-  File: encoder_case.v , 29
-  Text: 16'h0040  ...
-enterNumber_Integral
-  File: encoder_case.v , 29
-  Text: 16'h0040  ...
-enterStatement_or_null
-  File: encoder_case.v , 29
-  Text: binary_out = 6 ;  ...
-enterStatement
-  File: encoder_case.v , 29
-  Text: binary_out = 6 ;  ...
-enterStatement_item
-  File: encoder_case.v , 29
-  Text: binary_out = 6 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 29
-  Text: binary_out = 6  ...
-enterOperator_assignment
-  File: encoder_case.v , 29
-  Text: binary_out = 6  ...
-enterVariable_lvalue
-  File: encoder_case.v , 29
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 29
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 29
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 29
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 29
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 29
-  Text: 6  ...
-enterPrimary
-  File: encoder_case.v , 29
-  Text: 6  ...
-enterPrimary_literal
-  File: encoder_case.v , 29
-  Text: 6  ...
-enterNumber_Integral
-  File: encoder_case.v , 29
-  Text: 6  ...
-enterCase_item
-  File: encoder_case.v , 30
-  Text: 16'h0080 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 30
-  Text: 16'h0080  ...
-enterPrimary
-  File: encoder_case.v , 30
-  Text: 16'h0080  ...
-enterPrimary_literal
-  File: encoder_case.v , 30
-  Text: 16'h0080  ...
-enterNumber_Integral
-  File: encoder_case.v , 30
-  Text: 16'h0080  ...
-enterStatement_or_null
-  File: encoder_case.v , 30
-  Text: binary_out = 7 ;  ...
-enterStatement
-  File: encoder_case.v , 30
-  Text: binary_out = 7 ;  ...
-enterStatement_item
-  File: encoder_case.v , 30
-  Text: binary_out = 7 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 30
-  Text: binary_out = 7  ...
-enterOperator_assignment
-  File: encoder_case.v , 30
-  Text: binary_out = 7  ...
-enterVariable_lvalue
-  File: encoder_case.v , 30
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 30
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 30
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 30
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 30
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 30
-  Text: 7  ...
-enterPrimary
-  File: encoder_case.v , 30
-  Text: 7  ...
-enterPrimary_literal
-  File: encoder_case.v , 30
-  Text: 7  ...
-enterNumber_Integral
-  File: encoder_case.v , 30
-  Text: 7  ...
-enterCase_item
-  File: encoder_case.v , 31
-  Text: 16'h0100 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 31
-  Text: 16'h0100  ...
-enterPrimary
-  File: encoder_case.v , 31
-  Text: 16'h0100  ...
-enterPrimary_literal
-  File: encoder_case.v , 31
-  Text: 16'h0100  ...
-enterNumber_Integral
-  File: encoder_case.v , 31
-  Text: 16'h0100  ...
-enterStatement_or_null
-  File: encoder_case.v , 31
-  Text: binary_out = 8 ;  ...
-enterStatement
-  File: encoder_case.v , 31
-  Text: binary_out = 8 ;  ...
-enterStatement_item
-  File: encoder_case.v , 31
-  Text: binary_out = 8 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 31
-  Text: binary_out = 8  ...
-enterOperator_assignment
-  File: encoder_case.v , 31
-  Text: binary_out = 8  ...
-enterVariable_lvalue
-  File: encoder_case.v , 31
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 31
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 31
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 31
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 31
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 31
-  Text: 8  ...
-enterPrimary
-  File: encoder_case.v , 31
-  Text: 8  ...
-enterPrimary_literal
-  File: encoder_case.v , 31
-  Text: 8  ...
-enterNumber_Integral
-  File: encoder_case.v , 31
-  Text: 8  ...
-enterCase_item
-  File: encoder_case.v , 32
-  Text: 16'h0200 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 32
-  Text: 16'h0200  ...
-enterPrimary
-  File: encoder_case.v , 32
-  Text: 16'h0200  ...
-enterPrimary_literal
-  File: encoder_case.v , 32
-  Text: 16'h0200  ...
-enterNumber_Integral
-  File: encoder_case.v , 32
-  Text: 16'h0200  ...
-enterStatement_or_null
-  File: encoder_case.v , 32
-  Text: binary_out = 9 ;  ...
-enterStatement
-  File: encoder_case.v , 32
-  Text: binary_out = 9 ;  ...
-enterStatement_item
-  File: encoder_case.v , 32
-  Text: binary_out = 9 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 32
-  Text: binary_out = 9  ...
-enterOperator_assignment
-  File: encoder_case.v , 32
-  Text: binary_out = 9  ...
-enterVariable_lvalue
-  File: encoder_case.v , 32
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 32
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 32
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 32
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 32
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 32
-  Text: 9  ...
-enterPrimary
-  File: encoder_case.v , 32
-  Text: 9  ...
-enterPrimary_literal
-  File: encoder_case.v , 32
-  Text: 9  ...
-enterNumber_Integral
-  File: encoder_case.v , 32
-  Text: 9  ...
-enterCase_item
-  File: encoder_case.v , 33
-  Text: 16'h0400 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 33
-  Text: 16'h0400  ...
-enterPrimary
-  File: encoder_case.v , 33
-  Text: 16'h0400  ...
-enterPrimary_literal
-  File: encoder_case.v , 33
-  Text: 16'h0400  ...
-enterNumber_Integral
-  File: encoder_case.v , 33
-  Text: 16'h0400  ...
-enterStatement_or_null
-  File: encoder_case.v , 33
-  Text: binary_out = 10 ;  ...
-enterStatement
-  File: encoder_case.v , 33
-  Text: binary_out = 10 ;  ...
-enterStatement_item
-  File: encoder_case.v , 33
-  Text: binary_out = 10 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 33
-  Text: binary_out = 10  ...
-enterOperator_assignment
-  File: encoder_case.v , 33
-  Text: binary_out = 10  ...
-enterVariable_lvalue
-  File: encoder_case.v , 33
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 33
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 33
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 33
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 33
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 33
-  Text: 10  ...
-enterPrimary
-  File: encoder_case.v , 33
-  Text: 10  ...
-enterPrimary_literal
-  File: encoder_case.v , 33
-  Text: 10  ...
-enterNumber_Integral
-  File: encoder_case.v , 33
-  Text: 10  ...
-enterCase_item
-  File: encoder_case.v , 34
-  Text: 16'h0800 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 34
-  Text: 16'h0800  ...
-enterPrimary
-  File: encoder_case.v , 34
-  Text: 16'h0800  ...
-enterPrimary_literal
-  File: encoder_case.v , 34
-  Text: 16'h0800  ...
-enterNumber_Integral
-  File: encoder_case.v , 34
-  Text: 16'h0800  ...
-enterStatement_or_null
-  File: encoder_case.v , 34
-  Text: binary_out = 11 ;  ...
-enterStatement
-  File: encoder_case.v , 34
-  Text: binary_out = 11 ;  ...
-enterStatement_item
-  File: encoder_case.v , 34
-  Text: binary_out = 11 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 34
-  Text: binary_out = 11  ...
-enterOperator_assignment
-  File: encoder_case.v , 34
-  Text: binary_out = 11  ...
-enterVariable_lvalue[INFO :PY0400] Processing source file "full_adder.v".
-
-
-  File: encoder_case.v , 34
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 34
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 34
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 34
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 34
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 34
-  Text: 11  ...
-enterPrimary
-  File: encoder_case.v , 34
-  Text: 11  ...
-enterPrimary_literal
-  File: encoder_case.v , 34
-  Text: 11  ...
-enterNumber_Integral
-  File: encoder_case.v , 34
-  Text: 11  ...
-enterCase_item
-  File: encoder_case.v , 35
-  Text: 16'h1000 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 35
-  Text: 16'h1000  ...
-enterPrimary
-  File: encoder_case.v , 35
-  Text: 16'h1000  ...
-enterPrimary_literal
-  File: encoder_case.v , 35
-  Text: 16'h1000  ...
-enterNumber_Integral
-  File: encoder_case.v , 35
-  Text: 16'h1000  ...
-enterStatement_or_null
-  File: encoder_case.v , 35
-  Text: binary_out = 12 ;  ...
-enterStatement
-  File: encoder_case.v , 35
-  Text: binary_out = 12 ;  ...
-enterStatement_item
-  File: encoder_case.v , 35
-  Text: binary_out = 12 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 35
-  Text: binary_out = 12  ...
-enterOperator_assignment
-  File: encoder_case.v , 35
-  Text: binary_out = 12  ...
-enterVariable_lvalue
-  File: encoder_case.v , 35
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 35
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 35
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 35
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 35
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 35
-  Text: 12  ...
-enterPrimary
-  File: encoder_case.v , 35
-  Text: 12  ...
-enterPrimary_literal
-  File: encoder_case.v , 35
-  Text: 12  ...
-enterNumber_Integral
-  File: encoder_case.v , 35
-  Text: 12  ...
-enterCase_item
-  File: encoder_case.v , 36
-  Text: 16'h2000 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 36
-  Text: 16'h2000  ...
-enterPrimary
-  File: encoder_case.v , 36
-  Text: 16'h2000  ...
-enterPrimary_literal
-  File: encoder_case.v , 36
-  Text: 16'h2000  ...
-enterNumber_Integral
-  File: encoder_case.v , 36
-  Text: 16'h2000  ...
-enterStatement_or_null
-  File: encoder_case.v , 36
-  Text: binary_out = 13 ;  ...
-enterStatement
-  File: encoder_case.v , 36
-  Text: binary_out = 13 ;  ...
-enterStatement_item
-  File: encoder_case.v , 36
-  Text: binary_out = 13 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 36
-  Text: binary_out = 13  ...
-enterOperator_assignment
-  File: encoder_case.v , 36
-  Text: binary_out = 13  ...
-enterVariable_lvalue
-  File: encoder_case.v , 36
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 36
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 36
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 36
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 36
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 36
-  Text: 13  ...
-enterPrimary
-  File: encoder_case.v , 36
-  Text: 13  ...
-enterPrimary_literal
-  File: encoder_case.v , 36
-  Text: 13  ...
-enterNumber_Integral
-  File: encoder_case.v , 36
-  Text: 13  ...
-enterCase_item
-  File: encoder_case.v , 37
-  Text: 16'h4000 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 37
-  Text: 16'h4000  ...
-enterPrimary
-  File: encoder_case.v , 37
-  Text: 16'h4000  ...
-enterPrimary_literal
-  File: encoder_case.v , 37
-  Text: 16'h4000  ...
-enterNumber_Integral
-  File: encoder_case.v , 37
-  Text: 16'h4000  ...
-enterStatement_or_null
-  File: encoder_case.v , 37
-  Text: binary_out = 14 ;  ...
-enterStatement
-  File: encoder_case.v , 37
-  Text: binary_out = 14 ;  ...
-enterStatement_item
-  File: encoder_case.v , 37
-  Text: binary_out = 14 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 37
-  Text: binary_out = 14  ...
-enterOperator_assignment
-  File: encoder_case.v , 37
-  Text: binary_out = 14  ...
-enterVariable_lvalue
-  File: encoder_case.v , 37
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 37
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 37
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 37
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 37
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 37
-  Text: 14  ...
-enterPrimary
-  File: encoder_case.v , 37
-  Text: 14  ...
-enterPrimary_literal
-  File: encoder_case.v , 37
-  Text: 14  ...
-enterNumber_Integral
-  File: encoder_case.v , 37
-  Text: 14  ...
-enterCase_item
-  File: encoder_case.v , 38
-  Text: 16'h8000 : binary_ou ...
-enterExpression
-  File: encoder_case.v , 38
-  Text: 16'h8000  ...
-enterPrimary
-  File: encoder_case.v , 38
-  Text: 16'h8000  ...
-enterPrimary_literal
-  File: encoder_case.v , 38
-  Text: 16'h8000  ...
-enterNumber_Integral
-  File: encoder_case.v , 38
-  Text: 16'h8000  ...
-enterStatement_or_null
-  File: encoder_case.v , 38
-  Text: binary_out = 15 ;  ...
-enterStatement
-  File: encoder_case.v , 38
-  Text: binary_out = 15 ;  ...
-enterStatement_item
-  File: encoder_case.v , 38
-  Text: binary_out = 15 ;  ...
-enterBlocking_assignment
-  File: encoder_case.v , 38
-  Text: binary_out = 15  ...
-enterOperator_assignment
-  File: encoder_case.v , 38
-  Text: binary_out = 15  ...
-enterVariable_lvalue
-  File: encoder_case.v , 38
-  Text: binary_out  ...
-enterHierarchical_identifier
-  File: encoder_case.v , 38
-  Text: binary_out  ...
-enterSelect
-  File: encoder_case.v , 38
-  Text:  ...
-enterBit_select
-  File: encoder_case.v , 38
-  Text:  ...
-enterAssignOp_Assign
-  File: encoder_case.v , 38
-  Text: =  ...
-enterExpression
-  File: encoder_case.v , 38
-  Text: 15  ...
-enterPrimary
-  File: encoder_case.v , 38
-  Text: 15  ...
-enterPrimary_literal
-  File: encoder_case.v , 38
-  Text: 15  ...
-enterNumber_Integral
-  File: encoder_case.v , 38
-  Text: 15  ...
-enterEndcase
-  File: encoder_case.v , 39
-  Text: endcase  ...
-enterEnd
-  File: encoder_case.v , 40
-  Text: end  ...
-enterEnd
-  File: encoder_case.v , 41
-  Text: end  ...
-enterEndmodule
-  File: encoder_case.v , 43
-  Text: endmodule  ...
-enterTop_level_rule
-  File: full_adder.v , 7
-  Text: module full_adder_ga ...
-enterNull_rule
-  File: full_adder.v , 7
-  Text:  ...
-enterSource_text
-  File: full_adder.v , 7
-  Text: module full_adder_ga ...
-enterDescription
-  File: full_adder.v , 7
-  Text: module full_adder_ga ...
-enterModule_declaration
-  File: full_adder.v , 7
-  Text: module full_adder_ga ...
-enterModule_nonansi_header
-  File: full_adder.v , 7
-  Text: module full_adder_ga ...
-enterModule_keyword
-  File: full_adder.v , 7
-  Text: module  ...
-enterIdentifier
-  File: full_adder.v , 7
-  Text: full_adder_gates  ...
-enterList_of_ports
-  File: full_adder.v , 7
-  Text: ( x , y , z , sum ,  ...
-enterPort
-  File: full_adder.v , 7
-  Text: x  ...
-enterPort_expression
-  File: full_adder.v , 7
-  Text: x  ...
-enterPort_reference
-  File: full_adder.v , 7
-  Text: x  ...
-enterIdentifier
-  File: full_adder.v , 7
-  Text: x  ...
-enterConstant_select
-  File: full_adder.v , 7
-  Text:  ...
-enterConstant_bit_select
-  File: full_adder.v , 7
-  Text:  ...
-enterPort
-  File: full_adder.v , 7
-  Text: y  ...
-enterPort_expression
-  File: full_adder.v , 7
-  Text: y  ...
-enterPort_reference
-  File: full_adder.v , 7
-  Text: y  ...
-enterIdentifier
-  File: full_adder.v , 7
-  Text: y  ...
-enterConstant_select
-  File: full_adder.v , 7
-  Text:  ...
-enterConstant_bit_select
-  File: full_adder.v , 7
-  Text:  ...
-enterPort
-  File: full_adder.v , 7
-  Text: z  ...
-enterPort_expression
-  File: full_adder.v , 7
-  Text: z  ...
-enterPort_reference
-  File: full_adder.v , 7
-  Text: z  ...
-enterIdentifier
-  File: full_adder.v , 7
-  Text: z  ...
-enterConstant_select
-  File: full_adder.v , 7
-  Text:  ...
-enterConstant_bit_select
-  File: full_adder.v , 7
-  Text:  ...
-enterPort
-  File: full_adder.v , 7
-  Text: sum  ...
-enterPort_expression
-  File: full_adder.v , 7
-  Text: sum  ...
-enterPort_reference
-  File: full_adder.v , 7
-  Text: sum  ...
-enterIdentifier
-  File: full_adder.v , 7
-  Text: sum  ...
-enterConstant_select
-  File: full_adder.v , 7
-  Text:  ...
-enterConstant_bit_select
-  File: full_adder.v , 7
-  Text:  ...
-enterPort
-  File: full_adder.v , 7
-  Text: carry  ...
-enterPort_expression
-  File: full_adder.v , 7
-  Text: carry  ...
-enterPort_reference
-  File: full_adder.v , 7
-  Text: carry  ...
-enterIdentifier
-  File: full_adder.v , 7
-  Text: carry  ...
-enterConstant_select
-  File: full_adder.v , 7
-  Text:  ...
-enterConstant_bit_select
-  File: full_adder.v , 7
-  Text:  ...
-enterModule_item
-  File: full_adder.v , 8
-  Text: input x , y , z ;  ...
-enterPort_declaration
-  File: full_adder.v , 8
-  Text: input x , y , z  ...
-enterInput_declaration
-  File: full_adder.v , 8
-  Text: input x , y , z  ...
-enterNet_port_type
-  File: full_adder.v , 8
-  Text:  ...
-enterData_type_or_implicit
-  File: full_adder.v , 8
-  Text:  ...
-enterList_of_port_identifiers
-  File: full_adder.v , 8
-  Text: x , y , z  ...
-enterIdentifier
-  File: full_adder.v , 8
-  Text: x  ...
-enterIdentifier
-  File: full_adder.v , 8
-  Text: y  ...
-enterIdentifier
-  File: full_adder.v , 8
-  Text: z  ...
-enterModule_item
-  File: full_adder.v , 9
-  Text: output sum , carry ; ...
-enterPort_declaration
-  File: full_adder.v , 9
-  Text: output sum , carry  ...
-enterOutput_declaration
-  File: full_adder.v , 9
-  Text: output sum , carry  ...
-enterNet_port_type
-  File: full_adder.v , 9
-  Text:  ...
-enterData_type_or_implicit
-  File: full_adder.v , 9
-  Text:  ...
-enterList_of_port_identifiers
-  File: full_adder.v , 9
-  Text: sum , carry  ...
-enterIdentifier
-  File: full_adder.v , 9
-  Text: sum  ...
-enterIdentifier
-  File: full_adder.v , 9
-  Text: carry  ...
-enterModule_item
-  File: full_adder.v , 10
-  Text: wire and1 , and2 , a ...
-enterNon_port_module_item
-  File: full_adder.v , 10
-  Text: wire and1 , and2 , a ...
-enterModule_or_generate_item
-  File: full_adder.v , 10
-  Text: wire and1 , and2 , a ...
-enterModule_common_item
-  File: full_adder.v , 10
-  Text: wire and1 , and2 , a ...
-enterModule_or_generate_item_declaration
-  File: full_adder.v , 10
-  Text: wire and1 , and2 , a ...
-enterPackage_or_generate_item_declaration
-  File: full_adder.v , 10
-  Text: wire and1 , and2 , a ...
-enterNet_declaration
-  File: full_adder.v , 10
-  Text: wire and1 , and2 , a ...
-enterNetType_Wire
-  File: full_adder.v , 10
-  Text: wire  ...
-enterData_type_or_implicit
-  File: full_adder.v , 10
-  Text:  ...
-enterList_of_net_decl_assignments
-  File: full_adder.v , 10
-  Text: and1 , and2 , and3 , ...
-enterNet_decl_assignment
-  File: full_adder.v , 10
-  Text: and1  ...
-enterIdentifier
-  File: full_adder.v , 10
-  Text: and1  ...
-enterNet_decl_assignment
-  File: full_adder.v , 10
-  Text: and2  ...
-enterIdentifier
-  File: full_adder.v , 10
-  Text: and2  ...
-enterNet_decl_assignment
-  File: full_adder.v , 10
-  Text: and3  ...
-enterIdentifier
-  File: full_adder.v , 10
-  Text: and3  ...
-enterNet_decl_assignment
-  File: full_adder.v , 10
-  Text: sum1  ...
-enterIdentifier
-  File: full_adder.v , 10
-  Text: sum1  ...
-enterModule_item
-  File: full_adder.v , 12
-  Text: and U_and1 ( and1 ,  ...
-enterNon_port_module_item
-  File: full_adder.v , 12
-  Text: and U_and1 ( and1 ,  ...
-enterModule_or_generate_item
-  File: full_adder.v , 12
-  Text: and U_and1 ( and1 ,  ...
-enterGate_instantiation
-  File: full_adder.v , 12
-  Text: and U_and1 ( and1 ,  ...
-enterNInpGate_And
-  File: full_adder.v , 12
-  Text: and  ...
-enterN_input_gate_instance
-  File: full_adder.v , 12
-  Text: U_and1 ( and1 , x ,  ...
-enterName_of_instance
-  File: full_adder.v , 12
-  Text: U_and1  ...
-enterIdentifier
-  File: full_adder.v , 12
-  Text: U_and1  ...
-enterNet_lvalue
-  File: full_adder.v , 12
-  Text: and1  ...
-enterPs_or_hierarchical_identifier
-  File: full_adder.v , 12
-  Text: and1  ...
-enterIdentifier
-  File: full_adder.v , 12
-  Text: and1  ...
-enterConstant_select
-  File: full_adder.v , 12
-  Text:  ...
-enterConstant_bit_select
-  File: full_adder.v , 12
-  Text:  ...
-enterExpression
-  File: full_adder.v , 12
-  Text: x  ...
-enterPrimary
-  File: full_adder.v , 12
-  Text: x  ...
-enterPrimary_literal
-  File: full_adder.v , 12
-  Text: x  ...
-enterIdentifier
-  File: full_adder.v , 12
-  Text: x  ...
-enterExpression
-  File: full_adder.v , 12
-  Text: y  ...
-enterPrimary
-  File: full_adder.v , 12
-  Text: y  ...
-enterPrimary_literal
-  File: full_adder.v , 12
-  Text: y  ...
-enterIdentifier
-  File: full_adder.v , 12
-  Text: y  ...
-enterN_input_gate_instance
-  File: full_adder.v , 13
-  Text: U_and2 ( and2 , x ,  ...
-enterName_of_instance
-  File: full_adder.v , 13
-  Text: U_and2  ...
-enterIdentifier
-  File: full_adder.v , 13
-  Text: U_and2  ...
-enterNet_lvalue
-  File: full_adder.v , 13
-  Text: and2  ...
-enterPs_or_hierarchical_identifier
-  File: full_adder.v , 13
-  Text: and2  ...
-enterIdentifier
-  File: full_adder.v , 13
-  Text: and2  ...
-enterConstant_select
-  File: full_adder.v , 13
-  Text:  ...
-enterConstant_bit_select
-  File: full_adder.v , 13
-  Text:  ...
-enterExpression
-  File: full_adder.v , 13
-  Text: x  ...
-enterPrimary
-  File: full_adder.v , 13
-  Text: x  ...
-enterPrimary_literal
-  File: full_adder.v , 13
-  Text: x  ...
-enterIdentifier
-  File: full_adder.v , 13
-  Text: x  ...
-enterExpression
-  File: full_adder.v , 13
-  Text: z  ...
-enterPrimary
-  File: full_adder.v , 13
-  Text: z  ...
-enterPrimary_literal
-  File: full_adder.v , 13
-  Text: z  ...
-enterIdentifier
-  File: full_adder.v , 13
-  Text: z  ...
-enterN_input_gate_instance
-  File: full_adder.v , 14
-  Text: U_and3 ( and3 , y ,  ...
-enterName_of_instance
-  File: full_adder.v , 14
-  Text: U_and3  ...
-enterIdentifier
-  File: full_adder.v , 14
-  Text: U_and3  ...
-enterNet_lvalue
-  File: full_adder.v , 14
-  Text: and3  ...
-enterPs_or_hierarchical_identifier
-  File: full_adder.v , 14
-  Text: and3  ...
-enterIdentifier
-  File: full_adder.v , 14
-  Text: and3  ...
-enterConstant_select
-  File: full_adder.v , 14
-  Text:  ...
-enterConstant_bit_select
-  File: full_adder.v , 14
-  Text:  ...
-enterExpression
-  File: full_adder.v , 14
-  Text: y  ...
-enterPrimary
-  File: full_adder.v , 14
-  Text: y  ...
-enterPrimary_literal
-  File: full_adder.v , 14
-  Text: y  ...
-enterIdentifier
-  File: full_adder.v , 14
-  Text: y  ...
-enterExpression
-  File: full_adder.v , 14
-  Text: z  ...
-enterPrimary
-  File: full_adder.v , 14
-  Text: z  ...
-enterPrimary_literal
-  File: full_adder.v , 14
-  Text: z  ...
-enterIdentifier
-  File: full_adder.v , 14
-  Text: z  ...
-enterModule_item
-  File: full_adder.v , 15
-  Text: or U_or ( carry , an ...
-enterNon_port_module_item
-  File: full_adder.v , 15
-  Text: or U_or ( carry , an ...
-enterModule_or_generate_item
-  File: full_adder.v , 15
-  Text: or U_or ( carry , an ...
-enterGate_instantiation
-  File: full_adder.v , 15
-  Text: or U_or ( carry , an ...
-enterNInpGate_Or
-  File: full_adder.v , 15
-  Text: or  ...
-enterN_input_gate_instance
-  File: full_adder.v , 15
-  Text: U_or ( carry , and1  ...
-enterName_of_instance
-  File: full_adder.v , 15
-  Text: U_or  ...
-enterIdentifier
-  File: full_adder.v , 15
-  Text: U_or  ...
-enterNet_lvalue
-  File: full_adder.v , 15
-  Text: carry  ...
-enterPs_or_hierarchical_identifier
-  File: full_adder.v , 15
-  Text: carry  ...
-enterIdentifier
-  File: full_adder.v , 15
-  Text: carry  ...
-enterConstant_select
-  File: full_adder.v , 15
-  Text:  ...
-enterConstant_bit_select
-  File: full_adder.v , 15
-  Text:  ...
-enterExpression
-  File: full_adder.v , 15
-  Text: and1  ...
-enterPrimary
-  File: full_adder.v , 15
-  Text: and1  ...
-enterPrimary_literal
-  File: full_adder.v , 15
-  Text: and1  ...
-enterIdentifier
-  File: full_adder.v , 15
-  Text: and1  ...
-enterExpression
-  File: full_adder.v , 15
-  Text: and2  ...
-enterPrimary
-  File: full_adder.v , 15
-  Text: and2  ...
-enterPrimary_literal
-  File: full_adder.v , 15
-  Text: and2  ...
-enterIdentifier
-  File: full_adder.v , 15
-  Text: and2  ...
-enterExpression
-  File: full_adder.v , 15
-  Text: and3  ...
-enterPrimary
-  File: full_adder.v , 15
-  Text: and3  ...
-enterPrimary_literal
-  File: full_adder.v , 15
-  Text: and3  ...
-enterIdentifier
-  File: full_adder.v , 15
-  Text: and3  ...
-enterModule_item
-  File: full_adder.v , 16
-  Text: xor U_sum ( sum , x  ...
-enterNon_port_module_item
-  File: full_adder.v , 16
-  Text: xor U_sum ( sum , x  ...
-enterModule_or_generate_item
-  File: full_adder.v , 16
-  Text: xor U_sum ( sum , x  ...
-enterGate_instantiation
-  File: full_adder.v , 16
-  Text: xor U_sum ( sum , x  ...
-enterNInpGate_Xor[INFO :PY0400] Processing source file "jkff_udp.v".
-
-
-  File: full_adder.v , 16
-  Text: xor  ...
-enterN_input_gate_instance
-  File: full_adder.v , 16
-  Text: U_sum ( sum , x , y  ...
-enterName_of_instance
-  File: full_adder.v , 16
-  Text: U_sum  ...
-enterIdentifier
-  File: full_adder.v , 16
-  Text: U_sum  ...
-enterNet_lvalue
-  File: full_adder.v , 16
-  Text: sum  ...
-enterPs_or_hierarchical_identifier
-  File: full_adder.v , 16
-  Text: sum  ...
-enterIdentifier
-  File: full_adder.v , 16
-  Text: sum  ...
-enterConstant_select
-  File: full_adder.v , 16
-  Text:  ...
-enterConstant_bit_select
-  File: full_adder.v , 16
-  Text:  ...
-enterExpression
-  File: full_adder.v , 16
-  Text: x  ...
-enterPrimary
-  File: full_adder.v , 16
-  Text: x  ...
-enterPrimary_literal
-  File: full_adder.v , 16
-  Text: x  ...
-enterIdentifier
-  File: full_adder.v , 16
-  Text: x  ...
-enterExpression
-  File: full_adder.v , 16
-  Text: y  ...
-enterPrimary
-  File: full_adder.v , 16
-  Text: y  ...
-enterPrimary_literal
-  File: full_adder.v , 16
-  Text: y  ...
-enterIdentifier
-  File: full_adder.v , 16
-  Text: y  ...
-enterExpression
-  File: full_adder.v , 16
-  Text: z  ...
-enterPrimary
-  File: full_adder.v , 16
-  Text: z  ...
-enterPrimary_literal
-  File: full_adder.v , 16
-  Text: z  ...
-enterIdentifier
-  File: full_adder.v , 16
-  Text: z  ...
-enterEndmodule
-  File: full_adder.v , 18
-  Text: endmodule  ...
-enterTop_level_rule
-  File: jkff_udp.v , 7
-  Text: primitive jkff_udp ( ...
-enterNull_rule
-  File: jkff_udp.v , 7
-  Text:  ...
-enterSource_text
-  File: jkff_udp.v , 7
-  Text: primitive jkff_udp ( ...
-enterDescription
-  File: jkff_udp.v , 7
-  Text: primitive jkff_udp ( ...
-enterUdp_declaration
-  File: jkff_udp.v , 7
-  Text: primitive jkff_udp ( ...
-enterUdp_nonansi_declaration
-  File: jkff_udp.v , 7
-  Text: primitive jkff_udp ( ...
-enterIdentifier
-  File: jkff_udp.v , 7
-  Text: jkff_udp  ...
-enterUdp_port_list
-  File: jkff_udp.v , 7
-  Text: q , clk , j , k  ...
-enterIdentifier
-  File: jkff_udp.v , 7
-  Text: q  ...
-enterIdentifier
-  File: jkff_udp.v , 7
-  Text: clk  ...
-enterIdentifier
-  File: jkff_udp.v , 7
-  Text: j  ...
-enterIdentifier
-  File: jkff_udp.v , 7
-  Text: k  ...
-enterUdp_port_declaration
-  File: jkff_udp.v , 8
-  Text: input clk , j , k ;  ...
-enterUdp_input_declaration
-  File: jkff_udp.v , 8
-  Text: input clk , j , k  ...
-enterIdentifier_list
-  File: jkff_udp.v , 8
-  Text: clk , j , k  ...
-enterIdentifier
-  File: jkff_udp.v , 8
-  Text: clk  ...
-enterIdentifier
-  File: jkff_udp.v , 8
-  Text: j  ...
-enterIdentifier
-  File: jkff_udp.v , 8
-  Text: k  ...
-enterUdp_port_declaration
-  File: jkff_udp.v , 9
-  Text: output q ;  ...
-enterUdp_output_declaration
-  File: jkff_udp.v , 9
-  Text: output q  ...
-enterIdentifier
-  File: jkff_udp.v , 9
-  Text: q  ...
-enterUdp_port_declaration
-  File: jkff_udp.v , 10
-  Text: reg q ;  ...
-enterUdp_reg_declaration
-  File: jkff_udp.v , 10
-  Text: reg q  ...
-enterIdentifier
-  File: jkff_udp.v , 10
-  Text: q  ...
-enterUdp_body
-  File: jkff_udp.v , 11
-  Text: table r 00 : ? : - ; ...
-enterSequential_body
-  File: jkff_udp.v , 11
-  Text: table r 00 : ? : - ; ...
-enterSequential_entry
-  File: jkff_udp.v , 13
-  Text: r 00 : ? : - ;  ...
-enterSeq_input_list
-  File: jkff_udp.v , 13
-  Text: r 00  ...
-enterLevel_input_list
-  File: jkff_udp.v , 13
-  Text: r 00  ...
-enterLevel_symbol
-  File: jkff_udp.v , 13
-  Text: r  ...
-enterLevel_symbol
-  File: jkff_udp.v , 13
-  Text: 00  ...
-enterLevel_symbol
-  File: jkff_udp.v , 13
-  Text: ?  ...
-enterNext_state
-  File: jkff_udp.v , 13
-  Text: -  ...
-enterSequential_entry
-  File: jkff_udp.v , 14
-  Text: r 01 : ? : 0 ;  ...
-enterSeq_input_list
-  File: jkff_udp.v , 14
-  Text: r 01  ...
-enterLevel_input_list
-  File: jkff_udp.v , 14
-  Text: r 01  ...
-enterLevel_symbol
-  File: jkff_udp.v , 14
-  Text: r  ...
-enterLevel_symbol
-  File: jkff_udp.v , 14
-  Text: 01  ...
-enterLevel_symbol
-  File: jkff_udp.v , 14
-  Text: ?  ...
-enterNext_state
-  File: jkff_udp.v , 14
-  Text: 0  ...
-enterOutput_symbol
-  File: jkff_udp.v , 14
-  Text: 0  ...
-enterSequential_entry
-  File: jkff_udp.v , 15
-  Text: r 10 : ? : 1 ;  ...
-enterSeq_input_list
-  File: jkff_udp.v , 15
-  Text: r 10  ...
-enterLevel_input_list
-  File: jkff_udp.v , 15
-  Text: r 10  ...
-enterLevel_symbol
-  File: jkff_udp.v , 15
-  Text: r  ...
-enterLevel_symbol
-  File: jkff_udp.v , 15
-  Text: 10  ...
-enterLevel_symbol
-  File: jkff_udp.v , 15
-  Text: ?  ...
-enterNext_state
-  File: jkff_udp.v , 15
-  Text: 1  ...
-enterOutput_symbol
-  File: jkff_udp.v , 15
-  Text: 1  ...
-enterSequential_entry
-  File: jkff_udp.v , 16
-  Text: r 11 : 0 : 1 ;  ...
-enterSeq_input_list
-  File: jkff_udp.v , 16
-  Text: r 11  ...
-enterLevel_input_list
-  File: jkff_udp.v , 16
-  Text: r 11  ...
-enterLevel_symbol
-  File: jkff_udp.v , 16
-  Text: r  ...
-enterLevel_symbol
-  File: jkff_udp.v , 16
-  Text: 11  ...
-enterLevel_symbol
-  File: jkff_udp.v , 16
-  Text: 0  ...
-enterNext_state
-  File: jkff_udp.v , 16
-  Text: 1  ...
-enterOutput_symbol
-  File: jkff_udp.v , 16
-  Text: 1  ...
-enterSequential_entry
-  File: jkff_udp.v , 17
-  Text: r 11 : 1 : 0 ;  ...
-enterSeq_input_list
-  File: jkff_udp.v , 17
-  Text: r 11  ...
-enterLevel_input_list
-  File: jkff_udp.v , 17
-  Text: r 11  ...
-enterLevel_symbol
-  File: jkff_udp.v , 17
-  Text: r  ...
-enterLevel_symbol
-  File: jkff_udp.v , 17
-  Text: 11  ...
-enterLevel_symbol
-  File: jkff_udp.v , 17
-  Text: 1  ...
-enterNext_state
-  File: jkff_udp.v , 17
-  Text: 0  ...
-enterOutput_symbol
-  File: jkff_udp.v , 17
-  Text: 0  ...
-enterSequential_entry
-  File: jkff_udp.v , 18
-  Text: f ? ? : ? : - ;  ...
-enterSeq_input_list
-  File: jkff_udp.v , 18
-  Text: f ? ?  ...
-enterLevel_input_list
-  File: jkff_udp.v , 18
-  Text: f ? ?  ...
-enterLevel_symbol
-  File: jkff_udp.v , 18
-  Text: f  ...
-enterLevel_symbol
-  File: jkff_udp.v , 18
-  Text: ?  ...
-enterLevel_symbol
-  File: jkff_udp.v , 18
-  Text: ?  ...
-enterLevel_symbol
-  File: jkff_udp.v , 18
-  Text: ?  ...
-enterNext_state
-  File: jkff_udp.v , 18
-  Text: -  ...
-enterSequential_entry
-  File: jkff_udp.v , 19
-  Text: ? * ? : ? : - ;  ...
-enterSeq_input_list
-  File: jkff_udp.v , 19
-  Text: ? * ?  ...
-enterEdge_input_list
-  File: jkff_udp.v , 19
-  Text: ? * ?  ...
-enterLevel_symbol
-  File: jkff_udp.v , 19
-  Text: ?  ...
-enterEdge_indicator
-  File: jkff_udp.v , 19
-  Text: *  ...
-enterEdge_symbol
-  File: jkff_udp.v , 19
-  Text: *  ...
-enterLevel_symbol
-  File: jkff_udp.v , 19
-  Text: ?  ...
-enterLevel_symbol
-  File: jkff_udp.v , 19
-  Text: ?  ...
-enterNext_state
-  File: jkff_udp.v , 19
-  Text: -  ...
-enterSequential_entry
-  File: jkff_udp.v , 20
-  Text: ? ? * : ? : - ;  ...
-enterSeq_input_list
-  File: jkff_udp.v , 20
-  Text: ? ? *  ...
-enterEdge_input_list
-  File: jkff_udp.v , 20
-  Text: ? ? *  ...
-enterLevel_symbol
-  File: jkff_udp.v , 20
-  Text: ?  ...
-enterLevel_symbol
-  File: jkff_udp.v , 20
-  Text: ?  ...
-enterEdge_indicator
-  File: jkff_udp.v , 20
-  Text: *  ...
-enterEdge_symbol
-  File: jkff_udp.v , 20
-  Text: *  ...
-enterLevel_symbol
-  File: jkff_udp.v , 20
-  Text: ?  ...
-enterNext_state
-  File: jkff_udp.v , 20
-  Text: -  ...
-enterEndtable
-  File: jkff_udp.v , 21
-  Text: endtable  ...
-enterEndprimitive
-  File: jkff_udp.v , 22
-  Text: endprimitive  ...
-enterDescription
-  File: jkff_udp.v , 24
-  Text: primitive xor2_input ...
-enterUdp_declaration
-  File: jkff_udp.v , 24
-  Text: primitive xor2_input ...
-enterUdp_nonansi_declaration
-  File: jkff_udp.v , 24
-  Text: primitive xor2_input ...
-enterIdentifier
-  File: jkff_udp.v , 24
-  Text: xor2_input  ...
-enterUdp_port_list
-  File: jkff_udp.v , 24
-  Text: c , a , b  ...
-enterIdentifier
-  File: jkff_udp.v , 24
-  Text: c  ...
-enterIdentifier
-  File: jkff_udp.v , 24
-  Text: a  ...
-enterIdentifier
-  File: jkff_udp.v , 24
-  Text: b  ...
-enterUdp_port_declaration
-  File: jkff_udp.v , 25
-  Text: output c ;  ...
-enterUdp_output_declaration
-  File: jkff_udp.v , 25
-  Text: output c  ...
-enterIdentifier
-  File: jkff_udp.v , 25
-  Text: c  ...
-enterUdp_port_declaration
-  File: jkff_udp.v , 26
-  Text: input a , b ;  ...
-enterUdp_input_declaration
-  File: jkff_udp.v , 26
-  Text: input a , b  ...
-enterIdentifier_list
-  File: jkff_udp.v , 26
-  Text: a , b  ...
-enterIdentifier
-  File: jkff_udp.v , 26
-  Text: a  ...
-enterIdentifier
-  File: jkff_udp.v , 26
-  Text: b  ...
-enterUdp_body[INFO :PY0400] Processing source file "lfsr_task.v".
-
-
-  File: jkff_udp.v , 27
-  Text: table 00 : 0 ; 01 :  ...
-enterCombinational_body
-  File: jkff_udp.v , 27
-  Text: table 00 : 0 ; 01 :  ...
-enterCombinational_entry
-  File: jkff_udp.v , 28
-  Text: 00 : 0 ;  ...
-enterLevel_input_list
-  File: jkff_udp.v , 28
-  Text: 00  ...
-enterLevel_symbol
-  File: jkff_udp.v , 28
-  Text: 00  ...
-enterOutput_symbol
-  File: jkff_udp.v , 28
-  Text: 0  ...
-enterCombinational_entry
-  File: jkff_udp.v , 29
-  Text: 01 : 1 ;  ...
-enterLevel_input_list
-  File: jkff_udp.v , 29
-  Text: 01  ...
-enterLevel_symbol
-  File: jkff_udp.v , 29
-  Text: 01  ...
-enterOutput_symbol
-  File: jkff_udp.v , 29
-  Text: 1  ...
-enterCombinational_entry
-  File: jkff_udp.v , 30
-  Text: 10 : 1 ;  ...
-enterLevel_input_list
-  File: jkff_udp.v , 30
-  Text: 10  ...
-enterLevel_symbol
-  File: jkff_udp.v , 30
-  Text: 10  ...
-enterOutput_symbol
-  File: jkff_udp.v , 30
-  Text: 1  ...
-enterCombinational_entry
-  File: jkff_udp.v , 31
-  Text: 11 : 0 ;  ...
-enterLevel_input_list
-  File: jkff_udp.v , 31
-  Text: 11  ...
-enterLevel_symbol
-  File: jkff_udp.v , 31
-  Text: 11  ...
-enterOutput_symbol
-  File: jkff_udp.v , 31
-  Text: 0  ...
-enterCombinational_entry
-  File: jkff_udp.v , 32
-  Text: x 1 : x ;  ...
-enterLevel_input_list
-  File: jkff_udp.v , 32
-  Text: x 1  ...
-enterLevel_symbol
-  File: jkff_udp.v , 32
-  Text: x  ...
-enterLevel_symbol
-  File: jkff_udp.v , 32
-  Text: 1  ...
-enterOutput_symbol
-  File: jkff_udp.v , 32
-  Text: x  ...
-enterCombinational_entry
-  File: jkff_udp.v , 33
-  Text: 1 x : x ;  ...
-enterLevel_input_list
-  File: jkff_udp.v , 33
-  Text: 1 x  ...
-enterLevel_symbol
-  File: jkff_udp.v , 33
-  Text: 1  ...
-enterLevel_symbol
-  File: jkff_udp.v , 33
-  Text: x  ...
-enterOutput_symbol
-  File: jkff_udp.v , 33
-  Text: x  ...
-enterCombinational_entry
-  File: jkff_udp.v , 34
-  Text: x 0 : x ;  ...
-enterLevel_input_list
-  File: jkff_udp.v , 34
-  Text: x 0  ...
-enterLevel_symbol
-  File: jkff_udp.v , 34
-  Text: x  ...
-enterLevel_symbol
-  File: jkff_udp.v , 34
-  Text: 0  ...
-enterOutput_symbol
-  File: jkff_udp.v , 34
-  Text: x  ...
-enterCombinational_entry
-  File: jkff_udp.v , 35
-  Text: 0 x : x ;  ...
-enterLevel_input_list
-  File: jkff_udp.v , 35
-  Text: 0 x  ...
-enterLevel_symbol
-  File: jkff_udp.v , 35
-  Text: 0  ...
-enterLevel_symbol
-  File: jkff_udp.v , 35
-  Text: x  ...
-enterOutput_symbol
-  File: jkff_udp.v , 35
-  Text: x  ...
-enterCombinational_entry
-  File: jkff_udp.v , 36
-  Text: x x : x ;  ...
-enterLevel_input_list
-  File: jkff_udp.v , 36
-  Text: x x  ...
-enterLevel_symbol
-  File: jkff_udp.v , 36
-  Text: x  ...
-enterLevel_symbol
-  File: jkff_udp.v , 36
-  Text: x  ...
-enterOutput_symbol
-  File: jkff_udp.v , 36
-  Text: x  ...
-enterEndtable
-  File: jkff_udp.v , 37
-  Text: endtable  ...
-enterEndprimitive
-  File: jkff_udp.v , 38
-  Text: endprimitive  ...
-enterTop_level_rule
-  File: lfsr_task.v , 2
-  Text: module LFSR_TASK ( c ...
-enterNull_rule
-  File: lfsr_task.v , 2
-  Text:  ...
-enterSource_text
-  File: lfsr_task.v , 2
-  Text: module LFSR_TASK ( c ...
-enterDescription
-  File: lfsr_task.v , 2
-  Text: module LFSR_TASK ( c ...
-enterModule_declaration
-  File: lfsr_task.v , 2
-  Text: module LFSR_TASK ( c ...
-enterModule_nonansi_header
-  File: lfsr_task.v , 2
-  Text: module LFSR_TASK ( c ...
-enterModule_keyword
-  File: lfsr_task.v , 2
-  Text: module  ...
-enterIdentifier
-  File: lfsr_task.v , 2
-  Text: LFSR_TASK  ...
-enterList_of_ports
-  File: lfsr_task.v , 2
-  Text: ( clock , Reset , se ...
-enterPort
-  File: lfsr_task.v , 2
-  Text: clock  ...
-enterPort_expression
-  File: lfsr_task.v , 2
-  Text: clock  ...
-enterPort_reference
-  File: lfsr_task.v , 2
-  Text: clock  ...
-enterIdentifier
-  File: lfsr_task.v , 2
-  Text: clock  ...
-enterConstant_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterConstant_bit_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterPort
-  File: lfsr_task.v , 2
-  Text: Reset  ...
-enterPort_expression
-  File: lfsr_task.v , 2
-  Text: Reset  ...
-enterPort_reference
-  File: lfsr_task.v , 2
-  Text: Reset  ...
-enterIdentifier
-  File: lfsr_task.v , 2
-  Text: Reset  ...
-enterConstant_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterConstant_bit_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterPort
-  File: lfsr_task.v , 2
-  Text: seed1  ...
-enterPort_expression
-  File: lfsr_task.v , 2
-  Text: seed1  ...
-enterPort_reference
-  File: lfsr_task.v , 2
-  Text: seed1  ...
-enterIdentifier
-  File: lfsr_task.v , 2
-  Text: seed1  ...
-enterConstant_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterConstant_bit_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterPort
-  File: lfsr_task.v , 2
-  Text: seed2  ...
-enterPort_expression
-  File: lfsr_task.v , 2
-  Text: seed2  ...
-enterPort_reference
-  File: lfsr_task.v , 2
-  Text: seed2  ...
-enterIdentifier
-  File: lfsr_task.v , 2
-  Text: seed2  ...
-enterConstant_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterConstant_bit_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterPort
-  File: lfsr_task.v , 2
-  Text: random1  ...
-enterPort_expression
-  File: lfsr_task.v , 2
-  Text: random1  ...
-enterPort_reference
-  File: lfsr_task.v , 2
-  Text: random1  ...
-enterIdentifier
-  File: lfsr_task.v , 2
-  Text: random1  ...
-enterConstant_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterConstant_bit_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterPort
-  File: lfsr_task.v , 2
-  Text: random2  ...
-enterPort_expression
-  File: lfsr_task.v , 2
-  Text: random2  ...
-enterPort_reference
-  File: lfsr_task.v , 2
-  Text: random2  ...
-enterIdentifier
-  File: lfsr_task.v , 2
-  Text: random2  ...
-enterConstant_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterConstant_bit_select
-  File: lfsr_task.v , 2
-  Text:  ...
-enterModule_item
-  File: lfsr_task.v , 3
-  Text: input clock ;  ...
-enterPort_declaration
-  File: lfsr_task.v , 3
-  Text: input clock  ...
-enterInput_declaration
-  File: lfsr_task.v , 3
-  Text: input clock  ...
-enterNet_port_type
-  File: lfsr_task.v , 3
-  Text:  ...
-enterData_type_or_implicit
-  File: lfsr_task.v , 3
-  Text:  ...
-enterList_of_port_identifiers
-  File: lfsr_task.v , 3
-  Text: clock  ...
-enterIdentifier
-  File: lfsr_task.v , 3
-  Text: clock  ...
-enterModule_item
-  File: lfsr_task.v , 4
-  Text: input [ 7 : 0 ] seed ...
-enterPort_declaration
-  File: lfsr_task.v , 4
-  Text: input [ 7 : 0 ] seed ...
-enterInput_declaration
-  File: lfsr_task.v , 4
-  Text: input [ 7 : 0 ] seed ...
-enterNet_port_type
-  File: lfsr_task.v , 4
-  Text: [ 7 : 0 ]  ...
-enterData_type_or_implicit
-  File: lfsr_task.v , 4
-  Text: [ 7 : 0 ]  ...
-enterPacked_dimension
-  File: lfsr_task.v , 4
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: lfsr_task.v , 4
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: lfsr_task.v , 4
-  Text: 7  ...
-enterConstant_primary
-  File: lfsr_task.v , 4
-  Text: 7  ...
-enterPrimary_literal
-  File: lfsr_task.v , 4
-  Text: 7  ...
-enterNumber_Integral
-  File: lfsr_task.v , 4
-  Text: 7  ...
-enterConstant_expression
-  File: lfsr_task.v , 4
-  Text: 0  ...
-enterConstant_primary
-  File: lfsr_task.v , 4
-  Text: 0  ...
-enterPrimary_literal
-  File: lfsr_task.v , 4
-  Text: 0  ...
-enterNumber_Integral
-  File: lfsr_task.v , 4
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: lfsr_task.v , 4
-  Text: seed1  ...
-enterIdentifier
-  File: lfsr_task.v , 4
-  Text: seed1  ...
-enterModule_item
-  File: lfsr_task.v , 5
-  Text: output [ 7 : 0 ] ran ...
-enterPort_declaration
-  File: lfsr_task.v , 5
-  Text: output [ 7 : 0 ] ran ...
-enterOutput_declaration
-  File: lfsr_task.v , 5
-  Text: output [ 7 : 0 ] ran ...
-enterNet_port_type
-  File: lfsr_task.v , 5
-  Text: [ 7 : 0 ]  ...
-enterData_type_or_implicit
-  File: lfsr_task.v , 5
-  Text: [ 7 : 0 ]  ...
-enterPacked_dimension
-  File: lfsr_task.v , 5
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: lfsr_task.v , 5
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: lfsr_task.v , 5
-  Text: 7  ...
-enterConstant_primary
-  File: lfsr_task.v , 5
-  Text: 7  ...
-enterPrimary_literal
-  File: lfsr_task.v , 5
-  Text: 7  ...
-enterNumber_Integral
-  File: lfsr_task.v , 5
-  Text: 7  ...
-enterConstant_expression
-  File: lfsr_task.v , 5
-  Text: 0  ...
-enterConstant_primary
-  File: lfsr_task.v , 5
-  Text: 0  ...
-enterPrimary_literal
-  File: lfsr_task.v , 5
-  Text: 0  ...
-enterNumber_Integral
-  File: lfsr_task.v , 5
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: lfsr_task.v , 5
-  Text: random1 , random2  ...
-enterIdentifier
-  File: lfsr_task.v , 5
-  Text: random1  ...
-enterIdentifier
-  File: lfsr_task.v , 5
-  Text: random2  ...
-enterModule_item
-  File: lfsr_task.v , 6
-  Text: reg [ 7 : 0 ] random ...
-enterNon_port_module_item
-  File: lfsr_task.v , 6
-  Text: reg [ 7 : 0 ] random ...
-enterModule_or_generate_item
-  File: lfsr_task.v , 6
-  Text: reg [ 7 : 0 ] random ...
-enterModule_common_item
-  File: lfsr_task.v , 6
-  Text: reg [ 7 : 0 ] random ...
-enterModule_or_generate_item_declaration
-  File: lfsr_task.v , 6
-  Text: reg [ 7 : 0 ] random ...
-enterPackage_or_generate_item_declaration
-  File: lfsr_task.v , 6
-  Text: reg [ 7 : 0 ] random ...
-enterData_declaration
-  File: lfsr_task.v , 6
-  Text: reg [ 7 : 0 ] random ...
-enterVariable_declaration
-  File: lfsr_task.v , 6
-  Text: reg [ 7 : 0 ] random ...
-enterData_type
-  File: lfsr_task.v , 6
-  Text: reg [ 7 : 0 ]  ...
-enterIntVec_TypeReg
-  File: lfsr_task.v , 6
-  Text: reg  ...
-enterPacked_dimension
-  File: lfsr_task.v , 6
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: lfsr_task.v , 6
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: lfsr_task.v , 6
-  Text: 7  ...
-enterConstant_primary
-  File: lfsr_task.v , 6
-  Text: 7  ...
-enterPrimary_literal
-  File: lfsr_task.v , 6
-  Text: 7  ...
-enterNumber_Integral
-  File: lfsr_task.v , 6
-  Text: 7  ...
-enterConstant_expression
-  File: lfsr_task.v , 6
-  Text: 0  ...
-enterConstant_primary
-  File: lfsr_task.v , 6
-  Text: 0  ...
-enterPrimary_literal
-  File: lfsr_task.v , 6
-  Text: 0  ...
-enterNumber_Integral
-  File: lfsr_task.v , 6
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: lfsr_task.v , 6
-  Text: random1 , random2  ...
-enterVariable_decl_assignment
-  File: lfsr_task.v , 6
-  Text: random1  ...
-enterIdentifier
-  File: lfsr_task.v , 6
-  Text: random1  ...
-enterVariable_decl_assignment
-  File: lfsr_task.v , 6
-  Text: random2  ...
-enterIdentifier
-  File: lfsr_task.v , 6
-  Text: random2  ...
-enterModule_item
-  File: lfsr_task.v , 7
-  Text: parameter [ 7 : 0 ]  ...
-enterNon_port_module_item
-  File: lfsr_task.v , 7
-  Text: parameter [ 7 : 0 ]  ...
-enterModule_or_generate_item
-  File: lfsr_task.v , 7
-  Text: parameter [ 7 : 0 ]  ...
-enterModule_common_item
-  File: lfsr_task.v , 7
-  Text: parameter [ 7 : 0 ]  ...
-enterModule_or_generate_item_declaration
-  File: lfsr_task.v , 7
-  Text: parameter [ 7 : 0 ]  ...
-enterPackage_or_generate_item_declaration
-  File: lfsr_task.v , 7
-  Text: parameter [ 7 : 0 ]  ...
-enterParameter_declaration
-  File: lfsr_task.v , 7
-  Text: parameter [ 7 : 0 ]  ...
-enterData_type_or_implicit
-  File: lfsr_task.v , 7
-  Text: [ 7 : 0 ]  ...
-enterPacked_dimension
-  File: lfsr_task.v , 7
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: lfsr_task.v , 7
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: lfsr_task.v , 7
-  Text: 7  ...
-enterConstant_primary
-  File: lfsr_task.v , 7
-  Text: 7  ...
-enterPrimary_literal
-  File: lfsr_task.v , 7
-  Text: 7  ...
-enterNumber_Integral
-  File: lfsr_task.v , 7
-  Text: 7  ...
-enterConstant_expression
-  File: lfsr_task.v , 7
-  Text: 0  ...
-enterConstant_primary
-  File: lfsr_task.v , 7
-  Text: 0  ...
-enterPrimary_literal
-  File: lfsr_task.v , 7
-  Text: 0  ...
-enterNumber_Integral
-  File: lfsr_task.v , 7
-  Text: 0  ...
-enterList_of_param_assignments
-  File: lfsr_task.v , 7
-  Text: Chain1 = 8'b10001110 ...
-enterParam_assignment
-  File: lfsr_task.v , 7
-  Text: Chain1 = 8'b10001110 ...
-enterIdentifier
-  File: lfsr_task.v , 7
-  Text: Chain1  ...
-enterConstant_param_expression
-  File: lfsr_task.v , 7
-  Text: 8'b10001110  ...
-enterConstant_mintypmax_expression
-  File: lfsr_task.v , 7
-  Text: 8'b10001110  ...
-enterConstant_expression
-  File: lfsr_task.v , 7
-  Text: 8'b10001110  ...
-enterConstant_primary
-  File: lfsr_task.v , 7
-  Text: 8'b10001110  ...
-enterPrimary_literal
-  File: lfsr_task.v , 7
-  Text: 8'b10001110  ...
-enterNumber_Integral
-  File: lfsr_task.v , 7
-  Text: 8'b10001110  ...
-enterModule_item
-  File: lfsr_task.v , 8
-  Text: parameter [ 7 : 0 ]  ...
-enterNon_port_module_item
-  File: lfsr_task.v , 8
-  Text: parameter [ 7 : 0 ]  ...
-enterModule_or_generate_item
-  File: lfsr_task.v , 8
-  Text: parameter [ 7 : 0 ]  ...
-enterModule_common_item
-  File: lfsr_task.v , 8
-  Text: parameter [ 7 : 0 ]  ...
-enterModule_or_generate_item_declaration
-  File: lfsr_task.v , 8
-  Text: parameter [ 7 : 0 ]  ...
-enterPackage_or_generate_item_declaration
-  File: lfsr_task.v , 8
-  Text: parameter [ 7 : 0 ]  ...
-enterParameter_declaration
-  File: lfsr_task.v , 8
-  Text: parameter [ 7 : 0 ]  ...
-enterData_type_or_implicit
-  File: lfsr_task.v , 8
-  Text: [ 7 : 0 ]  ...
-enterPacked_dimension
-  File: lfsr_task.v , 8
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: lfsr_task.v , 8
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: lfsr_task.v , 8
-  Text: 7  ...
-enterConstant_primary
-  File: lfsr_task.v , 8
-  Text: 7  ...
-enterPrimary_literal
-  File: lfsr_task.v , 8
-  Text: 7  ...
-enterNumber_Integral
-  File: lfsr_task.v , 8
-  Text: 7  ...
-enterConstant_expression
-  File: lfsr_task.v , 8
-  Text: 0  ...
-enterConstant_primary
-  File: lfsr_task.v , 8
-  Text: 0  ...
-enterPrimary_literal
-  File: lfsr_task.v , 8
-  Text: 0  ...
-enterNumber_Integral
-  File: lfsr_task.v , 8
-  Text: 0  ...
-enterList_of_param_assignments
-  File: lfsr_task.v , 8
-  Text: Chain2 = 8'b10101110 ...
-enterParam_assignment
-  File: lfsr_task.v , 8
-  Text: Chain2 = 8'b10101110 ...
-enterIdentifier
-  File: lfsr_task.v , 8
-  Text: Chain2  ...
-enterConstant_param_expression
-  File: lfsr_task.v , 8
-  Text: 8'b10101110  ...
-enterConstant_mintypmax_expression
-  File: lfsr_task.v , 8
-  Text: 8'b10101110  ...
-enterConstant_expression
-  File: lfsr_task.v , 8
-  Text: 8'b10101110  ...
-enterConstant_primary
-  File: lfsr_task.v , 8
-  Text: 8'b10101110  ...
-enterPrimary_literal
-  File: lfsr_task.v , 8
-  Text: 8'b10101110  ...
-enterNumber_Integral
-  File: lfsr_task.v , 8
-  Text: 8'b10101110  ...
-enterModule_item
-  File: lfsr_task.v , 9
-  Text: task LFSR_TAPS8_TASK ...
-enterNon_port_module_item
-  File: lfsr_task.v , 9
-  Text: task LFSR_TAPS8_TASK ...
-enterModule_or_generate_item
-  File: lfsr_task.v , 9
-  Text: task LFSR_TAPS8_TASK ...
-enterModule_common_item
-  File: lfsr_task.v , 9
-  Text: task LFSR_TAPS8_TASK ...
-enterModule_or_generate_item_declaration
-  File: lfsr_task.v , 9
-  Text: task LFSR_TAPS8_TASK ...
-enterPackage_or_generate_item_declaration
-  File: lfsr_task.v , 9
-  Text: task LFSR_TAPS8_TASK ...
-enterTask_declaration
-  File: lfsr_task.v , 9
-  Text: task LFSR_TAPS8_TASK ...
-enterTask_body_declaration
-  File: lfsr_task.v , 9
-  Text: LFSR_TAPS8_TASK ; in ...
-enterIdentifier
-  File: lfsr_task.v , 9
-  Text: LFSR_TAPS8_TASK  ...
-enterTf_item_declaration
-  File: lfsr_task.v , 10
-  Text: input [ 7 : 0 ] A ;  ...
-enterTf_port_declaration
-  File: lfsr_task.v , 10
-  Text: input [ 7 : 0 ] A ;  ...
-enterTfPortDir_Inp
-  File: lfsr_task.v , 10
-  Text: input  ...
-enterData_type_or_implicit
-  File: lfsr_task.v , 10
-  Text: [ 7 : 0 ]  ...
-enterPacked_dimension
-  File: lfsr_task.v , 10
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: lfsr_task.v , 10
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: lfsr_task.v , 10
-  Text: 7  ...
-enterConstant_primary
-  File: lfsr_task.v , 10
-  Text: 7  ...
-enterPrimary_literal
-  File: lfsr_task.v , 10
-  Text: 7  ...
-enterNumber_Integral
-  File: lfsr_task.v , 10
-  Text: 7  ...
-enterConstant_expression
-  File: lfsr_task.v , 10
-  Text: 0  ...
-enterConstant_primary
-  File: lfsr_task.v , 10
-  Text: 0  ...
-enterPrimary_literal
-  File: lfsr_task.v , 10
-  Text: 0  ...
-enterNumber_Integral
-  File: lfsr_task.v , 10
-  Text: 0  ...
-enterList_of_tf_variable_identifiers
-  File: lfsr_task.v , 10
-  Text: A  ...
-enterIdentifier
-  File: lfsr_task.v , 10
-  Text: A  ...
-enterTf_item_declaration
-  File: lfsr_task.v , 11
-  Text: input [ 7 : 0 ] Chai ...
-enterTf_port_declaration
-  File: lfsr_task.v , 11
-  Text: input [ 7 : 0 ] Chai ...
-enterTfPortDir_Inp
-  File: lfsr_task.v , 11
-  Text: input  ...
-enterData_type_or_implicit
-  File: lfsr_task.v , 11
-  Text: [ 7 : 0 ]  ...
-enterPacked_dimension
-  File: lfsr_task.v , 11
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: lfsr_task.v , 11
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: lfsr_task.v , 11
-  Text: 7  ...
-enterConstant_primary
-  File: lfsr_task.v , 11
-  Text: 7  ...
-enterPrimary_literal
-  File: lfsr_task.v , 11
-  Text: 7  ...
-enterNumber_Integral
-  File: lfsr_task.v , 11
-  Text: 7  ...
-enterConstant_expression
-  File: lfsr_task.v , 11
-  Text: 0  ...
-enterConstant_primary
-  File: lfsr_task.v , 11
-  Text: 0  ...
-enterPrimary_literal
-  File: lfsr_task.v , 11
-  Text: 0  ...
-enterNumber_Integral
-  File: lfsr_task.v , 11
-  Text: 0  ...
-enterList_of_tf_variable_identifiers
-  File: lfsr_task.v , 11
-  Text: Chain  ...
-enterIdentifier
-  File: lfsr_task.v , 11
-  Text: Chain  ...
-enterTf_item_declaration
-  File: lfsr_task.v , 12
-  Text: output [ 7 : 0 ] Nex ...
-enterTf_port_declaration
-  File: lfsr_task.v , 12
-  Text: output [ 7 : 0 ] Nex ...
-enterTfPortDir_Out
-  File: lfsr_task.v , 12
-  Text: output  ...
-enterData_type_or_implicit
-  File: lfsr_task.v , 12
-  Text: [ 7 : 0 ]  ...
-enterPacked_dimension
-  File: lfsr_task.v , 12
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: lfsr_task.v , 12
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: lfsr_task.v , 12
-  Text: 7  ...
-enterConstant_primary
-  File: lfsr_task.v , 12
-  Text: 7  ...
-enterPrimary_literal
-  File: lfsr_task.v , 12
-  Text: 7  ...
-enterNumber_Integral
-  File: lfsr_task.v , 12
-  Text: 7  ...
-enterConstant_expression
-  File: lfsr_task.v , 12
-  Text: 0  ...
-enterConstant_primary
-  File: lfsr_task.v , 12
-  Text: 0  ...
-enterPrimary_literal
-  File: lfsr_task.v , 12
-  Text: 0  ...
-enterNumber_Integral
-  File: lfsr_task.v , 12
-  Text: 0  ...
-enterList_of_tf_variable_identifiers
-  File: lfsr_task.v , 12
-  Text: Next_LFSR_Reg  ...
-enterIdentifier
-  File: lfsr_task.v , 12
-  Text: Next_LFSR_Reg  ...
-enterTf_item_declaration
-  File: lfsr_task.v , 13
-  Text: integer i ;  ...
-enterBlock_item_declaration
-  File: lfsr_task.v , 13
-  Text: integer i ;  ...
-enterData_declaration
-  File: lfsr_task.v , 13
-  Text: integer i ;  ...
-enterVariable_declaration
-  File: lfsr_task.v , 13
-  Text: integer i ;  ...
-enterData_type
-  File: lfsr_task.v , 13
-  Text: integer  ...
-enterIntegerAtomType_Int
-  File: lfsr_task.v , 13
-  Text: integer  ...
-enterList_of_variable_decl_assignments
-  File: lfsr_task.v , 13
-  Text: i  ...
-enterVariable_decl_assignment
-  File: lfsr_task.v , 13
-  Text: i  ...
-enterIdentifier
-  File: lfsr_task.v , 13
-  Text: i  ...
-enterTf_item_declaration
-  File: lfsr_task.v , 14
-  Text: reg XorNor ;  ...
-enterBlock_item_declaration
-  File: lfsr_task.v , 14
-  Text: reg XorNor ;  ...
-enterData_declaration
-  File: lfsr_task.v , 14
-  Text: reg XorNor ;  ...
-enterVariable_declaration
-  File: lfsr_task.v , 14
-  Text: reg XorNor ;  ...
-enterData_type
-  File: lfsr_task.v , 14
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: lfsr_task.v , 14
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: lfsr_task.v , 14
-  Text: XorNor  ...
-enterVariable_decl_assignment
-  File: lfsr_task.v , 14
-  Text: XorNor  ...
-enterIdentifier
-  File: lfsr_task.v , 14
-  Text: XorNor  ...
-enterTf_item_declaration
-  File: lfsr_task.v , 15
-  Text: reg [ 7 : 0 ] Next_L ...
-enterBlock_item_declaration
-  File: lfsr_task.v , 15
-  Text: reg [ 7 : 0 ] Next_L ...
-enterData_declaration
-  File: lfsr_task.v , 15
-  Text: reg [ 7 : 0 ] Next_L ...
-enterVariable_declaration
-  File: lfsr_task.v , 15
-  Text: reg [ 7 : 0 ] Next_L ...
-enterData_type
-  File: lfsr_task.v , 15
-  Text: reg [ 7 : 0 ]  ...
-enterIntVec_TypeReg
-  File: lfsr_task.v , 15
-  Text: reg  ...
-enterPacked_dimension
-  File: lfsr_task.v , 15
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: lfsr_task.v , 15
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: lfsr_task.v , 15
-  Text: 7  ...
-enterConstant_primary
-  File: lfsr_task.v , 15
-  Text: 7  ...
-enterPrimary_literal
-  File: lfsr_task.v , 15
-  Text: 7  ...
-enterNumber_Integral
-  File: lfsr_task.v , 15
-  Text: 7  ...
-enterConstant_expression
-  File: lfsr_task.v , 15
-  Text: 0  ...
-enterConstant_primary
-  File: lfsr_task.v , 15
-  Text: 0  ...
-enterPrimary_literal
-  File: lfsr_task.v , 15
-  Text: 0  ...
-enterNumber_Integral
-  File: lfsr_task.v , 15
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: lfsr_task.v , 15
-  Text: Next_LFSR_Reg  ...
-enterVariable_decl_assignment
-  File: lfsr_task.v , 15
-  Text: Next_LFSR_Reg  ...
-enterIdentifier
-  File: lfsr_task.v , 15
-  Text: Next_LFSR_Reg  ...
-enterStatement_or_null
-  File: lfsr_task.v , 16
-  Text: begin XorNor = A [ 7 ...
-enterStatement
-  File: lfsr_task.v , 16
-  Text: begin XorNor = A [ 7 ...
-enterStatement_item
-  File: lfsr_task.v , 16
-  Text: begin XorNor = A [ 7 ...
-enterSeq_block
-  File: lfsr_task.v , 16
-  Text: begin XorNor = A [ 7 ...
-enterStatement_or_null
-  File: lfsr_task.v , 17
-  Text: XorNor = A [ 7 ] ^ ~ ...
-enterStatement
-  File: lfsr_task.v , 17
-  Text: XorNor = A [ 7 ] ^ ~ ...
-enterStatement_item
-  File: lfsr_task.v , 17
-  Text: XorNor = A [ 7 ] ^ ~ ...
-enterBlocking_assignment
-  File: lfsr_task.v , 17
-  Text: XorNor = A [ 7 ] ^ ~ ...
-enterOperator_assignment
-  File: lfsr_task.v , 17
-  Text: XorNor = A [ 7 ] ^ ~ ...
-enterVariable_lvalue
-  File: lfsr_task.v , 17
-  Text: XorNor  ...
-enterHierarchical_identifier
-  File: lfsr_task.v , 17
-  Text: XorNor  ...
-enterSelect
-  File: lfsr_task.v , 17
-  Text:  ...
-enterBit_select
-  File: lfsr_task.v , 17
-  Text:  ...
-enterAssignOp_Assign
-  File: lfsr_task.v , 17
-  Text: =  ...
-enterExpression
-  File: lfsr_task.v , 17
-  Text: A [ 7 ] ^ ~| A [ 6 : ...
-enterExpression
-  File: lfsr_task.v , 17
-  Text: A [ 7 ]  ...
-enterPrimary
-  File: lfsr_task.v , 17
-  Text: A [ 7 ]  ...
-enterComplex_func_call
-  File: lfsr_task.v , 17
-  Text: A [ 7 ]  ...
-enterIdentifier
-  File: lfsr_task.v , 17
-  Text: A  ...
-enterSelect
-  File: lfsr_task.v , 17
-  Text: [ 7 ]  ...
-enterBit_select
-  File: lfsr_task.v , 17
-  Text: [ 7 ]  ...
-enterExpression
-  File: lfsr_task.v , 17
-  Text: 7  ...
-enterPrimary
-  File: lfsr_task.v , 17
-  Text: 7  ...
-enterPrimary_literal
-  File: lfsr_task.v , 17
-  Text: 7  ...
-enterNumber_Integral
-  File: lfsr_task.v , 17
-  Text: 7  ...
-enterBinOp_BitwXor
-  File: lfsr_task.v , 17
-  Text: ^  ...
-enterExpression
-  File: lfsr_task.v , 17
-  Text: ~| A [ 6 : 0 ]  ...
-enterUnary_ReductNor
-  File: lfsr_task.v , 17
-  Text: ~|  ...
-enterPrimary
-  File: lfsr_task.v , 17
-  Text: A [ 6 : 0 ]  ...
-enterComplex_func_call
-  File: lfsr_task.v , 17
-  Text: A [ 6 : 0 ]  ...
-enterIdentifier
-  File: lfsr_task.v , 17
-  Text: A  ...
-enterSelect
-  File: lfsr_task.v , 17
-  Text: [ 6 : 0 ]  ...
-enterBit_select
-  File: lfsr_task.v , 17
-  Text:  ...
-enterPart_select_range
-  File: lfsr_task.v , 17
-  Text: 6 : 0  ...
-enterConstant_range
-  File: lfsr_task.v , 17
-  Text: 6 : 0  ...
-enterConstant_expression
-  File: lfsr_task.v , 17
-  Text: 6  ...
-enterConstant_primary
-  File: lfsr_task.v , 17
-  Text: 6  ...
-enterPrimary_literal
-  File: lfsr_task.v , 17
-  Text: 6  ...
-enterNumber_Integral
-  File: lfsr_task.v , 17
-  Text: 6  ...
-enterConstant_expression
-  File: lfsr_task.v , 17
-  Text: 0  ...
-enterConstant_primary
-  File: lfsr_task.v , 17
-  Text: 0  ...
-enterPrimary_literal
-  File: lfsr_task.v , 17
-  Text: 0  ...
-enterNumber_Integral
-  File: lfsr_task.v , 17
-  Text: 0  ...
-enterStatement_or_null
-  File: lfsr_task.v , 18
-  Text: for ( i = 1 ; I <= 7 ...
-enterStatement
-  File: lfsr_task.v , 18
-  Text: for ( i = 1 ; I <= 7 ...
-enterStatement_item
-  File: lfsr_task.v , 18
-  Text: for ( i = 1 ; I <= 7 ...
-enterLoop_statement
-  File: lfsr_task.v , 18
-  Text: for ( i = 1 ; I <= 7 ...
-enterFor_initialization
-  File: lfsr_task.v , 18
-  Text: i = 1  ...
-enterList_of_variable_assignments
-  File: lfsr_task.v , 18
-  Text: i = 1  ...
-enterVariable_assignment
-  File: lfsr_task.v , 18
-  Text: i = 1  ...
-enterVariable_lvalue
-  File: lfsr_task.v , 18
-  Text: i  ...
-enterHierarchical_identifier
-  File: lfsr_task.v , 18
-  Text: i  ...
-enterSelect
-  File: lfsr_task.v , 18
-  Text:  ...
-enterBit_select
-  File: lfsr_task.v , 18
-  Text:  ...
-enterExpression
-  File: lfsr_task.v , 18
-  Text: 1  ...
-enterPrimary
-  File: lfsr_task.v , 18
-  Text: 1  ...
-enterPrimary_literal
-  File: lfsr_task.v , 18
-  Text: 1  ...
-enterNumber_Integral
-  File: lfsr_task.v , 18
-  Text: 1  ...
-enterExpression
-  File: lfsr_task.v , 18
-  Text: I <= 7  ...
-enterExpression
-  File: lfsr_task.v , 18
-  Text: I  ...
-enterPrimary
-  File: lfsr_task.v , 18
-  Text: I  ...
-enterPrimary_literal
-  File: lfsr_task.v , 18
-  Text: I  ...
-enterIdentifier
-  File: lfsr_task.v , 18
-  Text: I  ...
-enterBinOp_LessEqual
-  File: lfsr_task.v , 18
-  Text: <=  ...
-enterExpression
-  File: lfsr_task.v , 18
-  Text: 7  ...
-enterPrimary
-  File: lfsr_task.v , 18
-  Text: 7  ...
-enterPrimary_literal
-  File: lfsr_task.v , 18
-  Text: 7  ...
-enterNumber_Integral
-  File: lfsr_task.v , 18
-  Text: 7  ...
-enterFor_step
-  File: lfsr_task.v , 18
-  Text: i = I + 1  ...
-enterFor_step_assignment
-  File: lfsr_task.v , 18
-  Text: i = I + 1  ...
-enterOperator_assignment
-  File: lfsr_task.v , 18
-  Text: i = I + 1  ...
-enterVariable_lvalue
-  File: lfsr_task.v , 18
-  Text: i  ...
-enterHierarchical_identifier
-  File: lfsr_task.v , 18
-  Text: i  ...
-enterSelect
-  File: lfsr_task.v , 18
-  Text:  ...
-enterBit_select
-  File: lfsr_task.v , 18
-  Text:  ...
-enterAssignOp_Assign
-  File: lfsr_task.v , 18
-  Text: =  ...
-enterExpression
-  File: lfsr_task.v , 18
-  Text: I + 1  ...
-enterExpression
-  File: lfsr_task.v , 18
-  Text: I  ...
-enterPrimary
-  File: lfsr_task.v , 18
-  Text: I  ...
-enterPrimary_literal
-  File: lfsr_task.v , 18
-  Text: I  ...
-enterIdentifier
-  File: lfsr_task.v , 18
-  Text: I  ...
-enterBinOp_Plus
-  File: lfsr_task.v , 18
-  Text: +  ...
-enterExpression
-  File: lfsr_task.v , 18
-  Text: 1  ...
-enterPrimary
-  File: lfsr_task.v , 18
-  Text: 1  ...
-enterPrimary_literal
-  File: lfsr_task.v , 18
-  Text: 1  ...
-enterNumber_Integral
-  File: lfsr_task.v , 18
-  Text: 1  ...
-enterStatement_or_null
-  File: lfsr_task.v , 19
-  Text: if ( Chain [ i - 1 ] ...
-enterStatement
-  File: lfsr_task.v , 19
-  Text: if ( Chain [ i - 1 ] ...
-enterStatement_item
-  File: lfsr_task.v , 19
-  Text: if ( Chain [ i - 1 ] ...
-enterConditional_statement
-  File: lfsr_task.v , 19
-  Text: if ( Chain [ i - 1 ] ...
-enterCond_predicate
-  File: lfsr_task.v , 19
-  Text: Chain [ i - 1 ] == 1 ...
-enterExpression_or_cond_pattern
-  File: lfsr_task.v , 19
-  Text: Chain [ i - 1 ] == 1 ...
-enterExpression
-  File: lfsr_task.v , 19
-  Text: Chain [ i - 1 ] == 1 ...
-enterExpression
-  File: lfsr_task.v , 19
-  Text: Chain [ i - 1 ]  ...
-enterPrimary
-  File: lfsr_task.v , 19
-  Text: Chain [ i - 1 ]  ...
-enterComplex_func_call
-  File: lfsr_task.v , 19
-  Text: Chain [ i - 1 ]  ...
-enterIdentifier
-  File: lfsr_task.v , 19
-  Text: Chain  ...
-enterSelect
-  File: lfsr_task.v , 19
-  Text: [ i - 1 ]  ...
-enterBit_select
-  File: lfsr_task.v , 19
-  Text: [ i - 1 ]  ...
-enterExpression
-  File: lfsr_task.v , 19
-  Text: i - 1  ...
-enterExpression
-  File: lfsr_task.v , 19
-  Text: i  ...
-enterPrimary
-  File: lfsr_task.v , 19
-  Text: i  ...
-enterPrimary_literal
-  File: lfsr_task.v , 19
-  Text: i  ...
-enterIdentifier
-  File: lfsr_task.v , 19
-  Text: i  ...
-enterBinOp_Minus
-  File: lfsr_task.v , 19
-  Text: -  ...
-enterExpression
-  File: lfsr_task.v , 19
-  Text: 1  ...
-enterPrimary
-  File: lfsr_task.v , 19
-  Text: 1  ...
-enterPrimary_literal
-  File: lfsr_task.v , 19
-  Text: 1  ...
-enterNumber_Integral
-  File: lfsr_task.v , 19
-  Text: 1  ...
-enterBinOp_Equiv
-  File: lfsr_task.v , 19
-  Text: ==  ...
-enterExpression
-  File: lfsr_task.v , 19
-  Text: 1  ...
-enterPrimary
-  File: lfsr_task.v , 19
-  Text: 1  ...
-enterPrimary_literal
-  File: lfsr_task.v , 19
-  Text: 1  ...
-enterNumber_Integral
-  File: lfsr_task.v , 19
-  Text: 1  ...
-enterStatement_or_null
-  File: lfsr_task.v , 20
-  Text: Next_LFSR_Reg [ i ]  ...
-enterStatement
-  File: lfsr_task.v , 20
-  Text: Next_LFSR_Reg [ i ]  ...
-enterStatement_item
-  File: lfsr_task.v , 20
-  Text: Next_LFSR_Reg [ i ]  ...
-enterBlocking_assignment
-  File: lfsr_task.v , 20
-  Text: Next_LFSR_Reg [ i ]  ...
-enterOperator_assignment
-  File: lfsr_task.v , 20
-  Text: Next_LFSR_Reg [ i ]  ...
-enterVariable_lvalue
-  File: lfsr_task.v , 20
-  Text: Next_LFSR_Reg [ i ]  ...
-enterHierarchical_identifier
-  File: lfsr_task.v , 20
-  Text: Next_LFSR_Reg  ...
-enterSelect
-  File: lfsr_task.v , 20
-  Text: [ i ]  ...
-enterBit_select
-  File: lfsr_task.v , 20
-  Text: [ i ]  ...
-enterExpression
-  File: lfsr_task.v , 20
-  Text: i  ...
-enterPrimary
-  File: lfsr_task.v , 20
-  Text: i  ...
-enterPrimary_literal
-  File: lfsr_task.v , 20
-  Text: i  ...
-enterIdentifier
-  File: lfsr_task.v , 20
-  Text: i  ...
-enterAssignOp_Assign
-  File: lfsr_task.v , 20
-  Text: =  ...
-enterExpression
-  File: lfsr_task.v , 20
-  Text: A [ i - 1 ] ^ XorNor ...
-enterExpression
-  File: lfsr_task.v , 20
-  Text: A [ i - 1 ]  ...
-enterPrimary
-  File: lfsr_task.v , 20
-  Text: A [ i - 1 ]  ...
-enterComplex_func_call
-  File: lfsr_task.v , 20
-  Text: A [ i - 1 ]  ...
-enterIdentifier
-  File: lfsr_task.v , 20
-  Text: A  ...
-enterSelect
-  File: lfsr_task.v , 20
-  Text: [ i - 1 ]  ...
-enterBit_select
-  File: lfsr_task.v , 20
-  Text: [ i - 1 ]  ...
-enterExpression
-  File: lfsr_task.v , 20
-  Text: i - 1  ...
-enterExpression
-  File: lfsr_task.v , 20
-  Text: i  ...
-enterPrimary
-  File: lfsr_task.v , 20
-  Text: i  ...
-enterPrimary_literal
-  File: lfsr_task.v , 20
-  Text: i  ...
-enterIdentifier
-  File: lfsr_task.v , 20
-  Text: i  ...
-enterBinOp_Minus
-  File: lfsr_task.v , 20
-  Text: -  ...
-enterExpression
-  File: lfsr_task.v , 20
-  Text: 1  ...
-enterPrimary
-  File: lfsr_task.v , 20
-  Text: 1  ...
-enterPrimary_literal
-  File: lfsr_task.v , 20
-  Text: 1  ...
-enterNumber_Integral
-  File: lfsr_task.v , 20
-  Text: 1  ...
-enterBinOp_BitwXor
-  File: lfsr_task.v , 20
-  Text: ^  ...
-enterExpression
-  File: lfsr_task.v , 20
-  Text: XorNor  ...
-enterPrimary
-  File: lfsr_task.v , 20
-  Text: XorNor  ...
-enterPrimary_literal
-  File: lfsr_task.v , 20
-  Text: XorNor  ...
-enterIdentifier
-  File: lfsr_task.v , 20
-  Text: XorNor  ...
-enterStatement_or_null
-  File: lfsr_task.v , 22
-  Text: Next_LFSR_Reg [ i ]  ...
-enterStatement
-  File: lfsr_task.v , 22
-  Text: Next_LFSR_Reg [ i ]  ...
-enterStatement_item
-  File: lfsr_task.v , 22
-  Text: Next_LFSR_Reg [ i ]  ...
-enterBlocking_assignment
-  File: lfsr_task.v , 22
-  Text: Next_LFSR_Reg [ i ]  ...
-enterOperator_assignment
-  File: lfsr_task.v , 22
-  Text: Next_LFSR_Reg [ i ]  ...
-enterVariable_lvalue
-  File: lfsr_task.v , 22
-  Text: Next_LFSR_Reg [ i ]  ...
-enterHierarchical_identifier
-  File: lfsr_task.v , 22
-  Text: Next_LFSR_Reg  ...
-enterSelect
-  File: lfsr_task.v , 22
-  Text: [ i ]  ...
-enterBit_select
-  File: lfsr_task.v , 22
-  Text: [ i ]  ...
-enterExpression
-  File: lfsr_task.v , 22
-  Text: i  ...
-enterPrimary
-  File: lfsr_task.v , 22
-  Text: i  ...
-enterPrimary_literal
-  File: lfsr_task.v , 22
-  Text: i  ...
-enterIdentifier
-  File: lfsr_task.v , 22
-  Text: i  ...
-enterAssignOp_Assign
-  File: lfsr_task.v , 22
-  Text: =  ...
-enterExpression
-  File: lfsr_task.v , 22
-  Text: A [ i - 1 ]  ...
-enterPrimary
-  File: lfsr_task.v , 22
-  Text: A [ i - 1 ]  ...
-enterComplex_func_call
-  File: lfsr_task.v , 22
-  Text: A [ i - 1 ]  ...
-enterIdentifier
-  File: lfsr_task.v , 22
-  Text: A  ...
-enterSelect
-  File: lfsr_task.v , 22
-  Text: [ i - 1 ]  ...
-enterBit_select
-  File: lfsr_task.v , 22
-  Text: [ i - 1 ]  ...
-enterExpression
-  File: lfsr_task.v , 22
-  Text: i - 1  ...
-enterExpression
-  File: lfsr_task.v , 22
-  Text: i  ...
-enterPrimary
-  File: lfsr_task.v , 22
-  Text: i  ...
-enterPrimary_literal
-  File: lfsr_task.v , 22
-  Text: i  ...
-enterIdentifier
-  File: lfsr_task.v , 22
-  Text: i  ...
-enterBinOp_Minus
-  File: lfsr_task.v , 22
-  Text: -  ...
-enterExpression
-  File: lfsr_task.v , 22
-  Text: 1  ...
-enterPrimary
-  File: lfsr_task.v , 22
-  Text: 1  ...
-enterPrimary_literal
-  File: lfsr_task.v , 22
-  Text: 1  ...
-enterNumber_Integral
-  File: lfsr_task.v , 22
-  Text: 1  ...
-enterStatement_or_null
-  File: lfsr_task.v , 23
-  Text: Next_LFSR_Reg [ 0 ]  ...
-enterStatement
-  File: lfsr_task.v , 23
-  Text: Next_LFSR_Reg [ 0 ]  ...
-enterStatement_item
-  File: lfsr_task.v , 23
-  Text: Next_LFSR_Reg [ 0 ]  ...
-enterBlocking_assignment
-  File: lfsr_task.v , 23
-  Text: Next_LFSR_Reg [ 0 ]  ...
-enterOperator_assignment
-  File: lfsr_task.v , 23
-  Text: Next_LFSR_Reg [ 0 ]  ...
-enterVariable_lvalue
-  File: lfsr_task.v , 23
-  Text: Next_LFSR_Reg [ 0 ]  ...
-enterHierarchical_identifier
-  File: lfsr_task.v , 23
-  Text: Next_LFSR_Reg  ...
-enterSelect
-  File: lfsr_task.v , 23
-  Text: [ 0 ]  ...
-enterBit_select
-  File: lfsr_task.v , 23
-  Text: [ 0 ]  ...
-enterExpression
-  File: lfsr_task.v , 23
-  Text: 0  ...
-enterPrimary
-  File: lfsr_task.v , 23
-  Text: 0  ...
-enterPrimary_literal
-  File: lfsr_task.v , 23
-  Text: 0  ...
-enterNumber_Integral
-  File: lfsr_task.v , 23
-  Text: 0  ...
-enterAssignOp_Assign
-  File: lfsr_task.v , 23
-  Text: =  ...
-enterExpression
-  File: lfsr_task.v , 23
-  Text: XorNor  ...
-enterPrimary
-  File: lfsr_task.v , 23
-  Text: XorNor  ...
-enterPrimary_literal
-  File: lfsr_task.v , 23
-  Text: XorNor  ...
-enterIdentifier
-  File: lfsr_task.v , 23
-  Text: XorNor  ...
-enterEnd
-  File: lfsr_task.v , 24
-  Text: end  ...
-enterEndtask
-  File: lfsr_task.v , 25
-  Text: endtask  ...
-enterModule_item
-  File: lfsr_task.v , 27
-  Text: always @ ( posedge c ...
-enterNon_port_module_item
-  File: lfsr_task.v , 27
-  Text: always @ ( posedge c ...
-enterModule_or_generate_item
-  File: lfsr_task.v , 27
-  Text: always @ ( posedge c ...
-enterModule_common_item
-  File: lfsr_task.v , 27
-  Text: always @ ( posedge c ...
-enterAlways_construct
-  File: lfsr_task.v , 27
-  Text: always @ ( posedge c ...
-enterAlwaysKeywd_Always
-  File: lfsr_task.v , 27
-  Text: always  ...
-enterStatement
-  File: lfsr_task.v , 27
-  Text: @ ( posedge clock or ...
-enterStatement_item
-  File: lfsr_task.v , 27
-  Text: @ ( posedge clock or ...
-enterProcedural_timing_control_statement
-  File: lfsr_task.v , 27
-  Text: @ ( posedge clock or ...
-enterProcedural_timing_control
-  File: lfsr_task.v , 27
-  Text: @ ( posedge clock or ...
-enterEvent_control
-  File: lfsr_task.v , 27
-  Text: @ ( posedge clock or ...
-enterEvent_expression
-  File: lfsr_task.v , 27
-  Text: posedge clock or neg ...
-enterEvent_expression
-  File: lfsr_task.v , 27
-  Text: posedge clock  ...
-enterEdge_Posedge
-  File: lfsr_task.v , 27
-  Text: posedge  ...
-enterExpression
-  File: lfsr_task.v , 27
-  Text: clock  ...
-enterPrimary
-  File: lfsr_task.v , 27
-  Text: clock  ...
-enterPrimary_literal
-  File: lfsr_task.v , 27
-  Text: clock  ...
-enterIdentifier
-  File: lfsr_task.v , 27
-  Text: clock  ...
-enterEvent_expression
-  File: lfsr_task.v , 27
-  Text: negedge Reset  ...
-enterEdge_Negedge
-  File: lfsr_task.v , 27
-  Text: negedge  ...
-enterExpression
-  File: lfsr_task.v , 27
-  Text: Reset  ...
-enterPrimary
-  File: lfsr_task.v , 27
-  Text: Reset  ...
-enterPrimary_literal
-  File: lfsr_task.v , 27
-  Text: Reset  ...
-enterIdentifier
-  File: lfsr_task.v , 27
-  Text: Reset  ...
-enterStatement_or_null
-  File: lfsr_task.v , 28
-  Text: if ( ! Reset ) rando ...
-enterStatement
-  File: lfsr_task.v , 28
-  Text: if ( ! Reset ) rando ...
-enterStatement_item
-  File: lfsr_task.v , 28
-  Text: if ( ! Reset ) rando ...
-enterConditional_statement
-  File: lfsr_task.v , 28
-  Text: if ( ! Reset ) rando ...
-enterCond_predicate
-  File: lfsr_task.v , 28
-  Text: ! Reset  ...
-enterExpression_or_cond_pattern
-  File: lfsr_task.v , 28
-  Text: ! Reset  ...
-enterExpression
-  File: lfsr_task.v , 28
-  Text: ! Reset  ...
-enterUnary_Not
-  File: lfsr_task.v , 28
-  Text: !  ...
-enterPrimary
-  File: lfsr_task.v , 28
-  Text: Reset  ...
-enterPrimary_literal
-  File: lfsr_task.v , 28
-  Text: Reset  ...
-enterIdentifier
-  File: lfsr_task.v , 28
-  Text: Reset  ...
-enterStatement_or_null
-  File: lfsr_task.v , 29
-  Text: random1 = seed1 ;  ...
-enterStatement
-  File: lfsr_task.v , 29
-  Text: random1 = seed1 ;  ...
-enterStatement_item
-  File: lfsr_task.v , 29
-  Text: random1 = seed1 ;  ...
-enterBlocking_assignment
-  File: lfsr_task.v , 29
-  Text: random1 = seed1  ...
-enterOperator_assignment
-  File: lfsr_task.v , 29
-  Text: random1 = seed1  ...
-enterVariable_lvalue
-  File: lfsr_task.v , 29
-  Text: random1  ...
-enterHierarchical_identifier
-  File: lfsr_task.v , 29
-  Text: random1  ...
-enterSelect
-  File: lfsr_task.v , 29
-  Text:  ...
-enterBit_select
-  File: lfsr_task.v , 29
-  Text:  ...
-enterAssignOp_Assign
-  File: lfsr_task.v , 29
-  Text: =  ...
-enterExpression
-  File: lfsr_task.v , 29
-  Text: seed1  ...
-enterPrimary
-  File: lfsr_task.v , 29
-  Text: seed1  ...
-enterPrimary_literal
-  File: lfsr_task.v , 29
-  Text: seed1  ...
-enterIdentifier
-  File: lfsr_task.v , 29
-  Text: seed1  ...
-enterStatement_or_null
-  File: lfsr_task.v , 31
-  Text: LFSR_TASK ( random1  ...
-enterStatement
-  File: lfsr_task.v , 31
-  Text: LFSR_TASK ( random1  ...
-enterStatement_item
-  File: lfsr_task.v , 31
-  Text: LFSR_TASK ( random1  ...
-enterSubroutine_call_statement
-  File: lfsr_task.v , 31
-  Text: LFSR_TASK ( random1  ...
-enterSubroutine_call
-  File: lfsr_task.v , 31
-  Text: LFSR_TASK ( random1  ...
-enterIdentifier
-  File: lfsr_task.v , 31
-  Text: LFSR_TASK  ...
-enterList_of_arguments
-  File: lfsr_task.v , 31
-  Text: random1 , Chain1 , r ...
-enterExpression
-  File: lfsr_task.v , 31
-  Text: random1  ...
-enterPrimary
-  File: lfsr_task.v , 31
-  Text: random1  ...
-enterPrimary_literal
-  File: lfsr_task.v , 31
-  Text: random1  ...
-enterIdentifier
-  File: lfsr_task.v , 31
-  Text: random1  ...
-enterExpression
-  File: lfsr_task.v , 31
-  Text: Chain1  ...
-enterPrimary
-  File: lfsr_task.v , 31
-  Text: Chain1  ...
-enterPrimary_literal
-  File: lfsr_task.v , 31
-  Text: Chain1  ...
-enterIdentifier
-  File: lfsr_task.v , 31
-  Text: Chain1  ...
-enterExpression
-  File: lfsr_task.v , 31
-  Text: random1  ...
-enterPrimary
-  File: lfsr_task.v , 31
-  Text: random1  ...
-enterPrimary_literal
-  File: lfsr_task.v , 31
-  Text: random1  ...
-enterIdentifier
-  File: lfsr_task.v , 31
-  Text: random1  ...
-enterModule_item
-  File: lfsr_task.v , 32
-  Text: always @ ( posedge c ...
-enterNon_port_module_item
-  File: lfsr_task.v , 32
-  Text: always @ ( posedge c ...
-enterModule_or_generate_item
-  File: lfsr_task.v , 32
-  Text: always @ ( posedge c ...
-enterModule_common_item
-  File: lfsr_task.v , 32
-  Text: always @ ( posedge c ...
-enterAlways_construct
-  File: lfsr_task.v , 32
-  Text: always @ ( posedge c ...
-enterAlwaysKeywd_Always
-  File: lfsr_task.v , 32
-  Text: always  ...
-enterStatement
-  File: lfsr_task.v , 32
-  Text: @ ( posedge clock or ...
-enterStatement_item
-  File: lfsr_task.v , 32
-  Text: @ ( posedge clock or ...
-enterProcedural_timing_control_statement
-  File: lfsr_task.v , 32
-  Text: @ ( posedge clock or ...
-enterProcedural_timing_control
-  File: lfsr_task.v , 32
-  Text: @ ( posedge clock or ...
-enterEvent_control
-  File: lfsr_task.v , 32
-  Text: @ ( posedge clock or ...
-enterEvent_expression
-  File: lfsr_task.v , 32
-  Text: posedge clock or neg ...
-enterEvent_expression
-  File: lfsr_task.v , 32
-  Text: posedge clock  ...
-enterEdge_Posedge
-  File: lfsr_task.v , 32
-  Text: posedge  ...
-enterExpression
-  File: lfsr_task.v , 32
-  Text: clock  ...
-enterPrimary
-  File: lfsr_task.v , 32
-  Text: clock  ...
-enterPrimary_literal
-  File: lfsr_task.v , 32
-  Text: clock  ...
-enterIdentifier
-  File: lfsr_task.v , 32
-  Text: clock  ...
-enterEvent_expression
-  File: lfsr_task.v , 32
-  Text: negedge Reset  ...
-enterEdge_Negedge
-  File: lfsr_task.v , 32
-  Text: negedge  ...
-enterExpression
-  File: lfsr_task.v , 32
-  Text: Reset  ...
-enterPrimary
-  File: lfsr_task.v , 32
-  Text: Reset  ...
-enterPrimary_literal
-  File: lfsr_task.v , 32
-  Text: Reset  ...
-enterIdentifier
-  File: lfsr_task.v , 32
-  Text: Reset  ...
-enterStatement_or_null
-  File: lfsr_task.v , 33
-  Text: if ( ! Reset ) rando ...
-enterStatement
-  File: lfsr_task.v , 33
-  Text: if ( ! Reset ) rando ...
-enterStatement_item
-  File: lfsr_task.v , 33
-  Text: if ( ! Reset ) rando ...
-enterConditional_statement
-  File: lfsr_task.v , 33
-  Text: if ( ! Reset ) rando ...
-enterCond_predicate
-  File: lfsr_task.v , 33
-  Text: ! Reset  ...
-enterExpression_or_cond_pattern
-  File: lfsr_task.v , 33
-  Text: ! Reset  ...
-enterExpression
-  File: lfsr_task.v , 33
-  Text: ! Reset  ...
-enterUnary_Not
-  File: lfsr_task.v , 33
-  Text: !  ...
-enterPrimary
-  File: lfsr_task.v , 33
-  Text: Reset  ...
-enterPrimary_literal
-  File: lfsr_task.v , 33
-  Text: Reset  ...
-enterIdentifier
-  File: lfsr_task.v , 33
-  Text: Reset  ...
-enterStatement_or_null
-  File: lfsr_task.v , 34
-  Text: random2 = seed2 ;  ...
-enterStatement
-  File: lfsr_task.v , 34
-  Text: random2 = seed2 ;  ...
-enterStatement_item
-  File: lfsr_task.v , 34
-  Text:[INFO :PY0400] Processing source file "m_input_mult.v".
-
- random2 = seed2 ;  ...
-enterBlocking_assignment
-  File: lfsr_task.v , 34
-  Text: random2 = seed2  ...
-enterOperator_assignment
-  File: lfsr_task.v , 34
-  Text: random2 = seed2  ...
-enterVariable_lvalue
-  File: lfsr_task.v , 34
-  Text: random2  ...
-enterHierarchical_identifier
-  File: lfsr_task.v , 34
-  Text: random2  ...
-enterSelect
-  File: lfsr_task.v , 34
-  Text:  ...
-enterBit_select
-  File: lfsr_task.v , 34
-  Text:  ...
-enterAssignOp_Assign
-  File: lfsr_task.v , 34
-  Text: =  ...
-enterExpression
-  File: lfsr_task.v , 34
-  Text: seed2  ...
-enterPrimary
-  File: lfsr_task.v , 34
-  Text: seed2  ...
-enterPrimary_literal
-  File: lfsr_task.v , 34
-  Text: seed2  ...
-enterIdentifier
-  File: lfsr_task.v , 34
-  Text: seed2  ...
-enterStatement_or_null
-  File: lfsr_task.v , 36
-  Text: LFSR_TASK ( random2  ...
-enterStatement
-  File: lfsr_task.v , 36
-  Text: LFSR_TASK ( random2  ...
-enterStatement_item
-  File: lfsr_task.v , 36
-  Text: LFSR_TASK ( random2  ...
-enterSubroutine_call_statement
-  File: lfsr_task.v , 36
-  Text: LFSR_TASK ( random2  ...
-enterSubroutine_call
-  File: lfsr_task.v , 36
-  Text: LFSR_TASK ( random2  ...
-enterIdentifier
-  File: lfsr_task.v , 36
-  Text: LFSR_TASK  ...
-enterList_of_arguments
-  File: lfsr_task.v , 36
-  Text: random2 , Chain2 , r ...
-enterExpression
-  File: lfsr_task.v , 36
-  Text: random2  ...
-enterPrimary
-  File: lfsr_task.v , 36
-  Text: random2  ...
-enterPrimary_literal
-  File: lfsr_task.v , 36
-  Text: random2  ...
-enterIdentifier
-  File: lfsr_task.v , 36
-  Text: random2  ...
-enterExpression
-  File: lfsr_task.v , 36
-  Text: Chain2  ...
-enterPrimary
-  File: lfsr_task.v , 36
-  Text: Chain2  ...
-enterPrimary_literal
-  File: lfsr_task.v , 36
-  Text: Chain2  ...
-enterIdentifier
-  File: lfsr_task.v , 36
-  Text: Chain2  ...
-enterExpression
-  File: lfsr_task.v , 36
-  Text: random2  ...
-enterPrimary
-  File: lfsr_task.v , 36
-  Text: random2  ...
-enterPrimary_literal
-  File: lfsr_task.v , 36
-  Text: random2  ...
-enterIdentifier
-  File: lfsr_task.v , 36
-  Text: random2  ...
-enterEndmodule
-  File: lfsr_task.v , 37
-  Text: endmodule  ...
-enterTop_level_rule
-  File: m_input_mult.v , 2
-  Text: module case1 ( in1 , ...
-enterNull_rule
-  File: m_input_mult.v , 2
-  Text:  ...
-enterSource_text
-  File: m_input_mult.v , 2
-  Text: module case1 ( in1 , ...
-enterDescription
-  File: m_input_mult.v , 2
-  Text: module case1 ( in1 , ...
-enterModule_declaration
-  File: m_input_mult.v , 2
-  Text: module case1 ( in1 , ...
-enterModule_nonansi_header
-  File: m_input_mult.v , 2
-  Text: module case1 ( in1 , ...
-enterModule_keyword
-  File: m_input_mult.v , 2
-  Text: module  ...
-enterIdentifier
-  File: m_input_mult.v , 2
-  Text: case1  ...
-enterList_of_ports
-  File: m_input_mult.v , 2
-  Text: ( in1 , in2 , out2 ) ...
-enterPort
-  File: m_input_mult.v , 2
-  Text: in1  ...
-enterPort_expression
-  File: m_input_mult.v , 2
-  Text: in1  ...
-enterPort_reference
-  File: m_input_mult.v , 2
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 2
-  Text: in1  ...
-enterConstant_select
-  File: m_input_mult.v , 2
-  Text:  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 2
-  Text:  ...
-enterPort
-  File: m_input_mult.v , 2
-  Text: in2  ...
-enterPort_expression
-  File: m_input_mult.v , 2
-  Text: in2  ...
-enterPort_reference
-  File: m_input_mult.v , 2
-  Text: in2  ...
-enterIdentifier
-  File: m_input_mult.v , 2
-  Text: in2  ...
-enterConstant_select
-  File: m_input_mult.v , 2
-  Text:  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 2
-  Text:  ...
-enterPort
-  File: m_input_mult.v , 2
-  Text: out2  ...
-enterPort_expression
-  File: m_input_mult.v , 2
-  Text: out2  ...
-enterPort_reference
-  File: m_input_mult.v , 2
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 2
-  Text: out2  ...
-enterConstant_select
-  File: m_input_mult.v , 2
-  Text:  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 2
-  Text:  ...
-enterModule_item
-  File: m_input_mult.v , 3
-  Text: input [ 7 : 0 ] in1  ...
-enterPort_declaration
-  File: m_input_mult.v , 3
-  Text: input [ 7 : 0 ] in1  ...
-enterInput_declaration
-  File: m_input_mult.v , 3
-  Text: input [ 7 : 0 ] in1  ...
-enterNet_port_type
-  File: m_input_mult.v , 3
-  Text: [ 7 : 0 ]  ...
-enterData_type_or_implicit
-  File: m_input_mult.v , 3
-  Text: [ 7 : 0 ]  ...
-enterPacked_dimension
-  File: m_input_mult.v , 3
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: m_input_mult.v , 3
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: m_input_mult.v , 3
-  Text: 7  ...
-enterConstant_primary
-  File: m_input_mult.v , 3
-  Text: 7  ...
-enterPrimary_literal
-  File: m_input_mult.v , 3
-  Text: 7  ...
-enterNumber_Integral
-  File: m_input_mult.v , 3
-  Text: 7  ...
-enterConstant_expression
-  File: m_input_mult.v , 3
-  Text: 0  ...
-enterConstant_primary
-  File: m_input_mult.v , 3
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 3
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 3
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: m_input_mult.v , 3
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 3
-  Text: in1  ...
-enterModule_item
-  File: m_input_mult.v , 4
-  Text: input [ 2 : 0 ] in2  ...
-enterPort_declaration
-  File: m_input_mult.v , 4
-  Text: input [ 2 : 0 ] in2  ...
-enterInput_declaration
-  File: m_input_mult.v , 4
-  Text: input [ 2 : 0 ] in2  ...
-enterNet_port_type
-  File: m_input_mult.v , 4
-  Text: [ 2 : 0 ]  ...
-enterData_type_or_implicit
-  File: m_input_mult.v , 4
-  Text: [ 2 : 0 ]  ...
-enterPacked_dimension
-  File: m_input_mult.v , 4
-  Text: [ 2 : 0 ]  ...
-enterConstant_range
-  File: m_input_mult.v , 4
-  Text: 2 : 0  ...
-enterConstant_expression
-  File: m_input_mult.v , 4
-  Text: 2  ...
-enterConstant_primary
-  File: m_input_mult.v , 4
-  Text: 2  ...
-enterPrimary_literal
-  File: m_input_mult.v , 4
-  Text: 2  ...
-enterNumber_Integral
-  File: m_input_mult.v , 4
-  Text: 2  ...
-enterConstant_expression
-  File: m_input_mult.v , 4
-  Text: 0  ...
-enterConstant_primary
-  File: m_input_mult.v , 4
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 4
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 4
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: m_input_mult.v , 4
-  Text: in2  ...
-enterIdentifier
-  File: m_input_mult.v , 4
-  Text: in2  ...
-enterModule_item
-  File: m_input_mult.v , 5
-  Text: output out2 ;  ...
-enterPort_declaration
-  File: m_input_mult.v , 5
-  Text: output out2  ...
-enterOutput_declaration
-  File: m_input_mult.v , 5
-  Text: output out2  ...
-enterNet_port_type
-  File: m_input_mult.v , 5
-  Text:  ...
-enterData_type_or_implicit
-  File: m_input_mult.v , 5
-  Text:  ...
-enterList_of_port_identifiers
-  File: m_input_mult.v , 5
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 5
-  Text: out2  ...
-enterModule_item
-  File: m_input_mult.v , 6
-  Text: always @ ( in1 or in ...
-enterNon_port_module_item
-  File: m_input_mult.v , 6
-  Text: always @ ( in1 or in ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 6
-  Text: always @ ( in1 or in ...
-enterModule_common_item
-  File: m_input_mult.v , 6
-  Text: always @ ( in1 or in ...
-enterAlways_construct
-  File: m_input_mult.v , 6
-  Text: always @ ( in1 or in ...
-enterAlwaysKeywd_Always
-  File: m_input_mult.v , 6
-  Text: always  ...
-enterStatement
-  File: m_input_mult.v , 6
-  Text: @ ( in1 or in2 ) cas ...
-enterStatement_item
-  File: m_input_mult.v , 6
-  Text: @ ( in1 or in2 ) cas ...
-enterProcedural_timing_control_statement
-  File: m_input_mult.v , 6
-  Text: @ ( in1 or in2 ) cas ...
-enterProcedural_timing_control
-  File: m_input_mult.v , 6
-  Text: @ ( in1 or in2 )  ...
-enterEvent_control
-  File: m_input_mult.v , 6
-  Text: @ ( in1 or in2 )  ...
-enterEvent_expression
-  File: m_input_mult.v , 6
-  Text: in1 or in2  ...
-enterEvent_expression
-  File: m_input_mult.v , 6
-  Text: in1  ...
-enterExpression
-  File: m_input_mult.v , 6
-  Text: in1  ...
-enterPrimary
-  File: m_input_mult.v , 6
-  Text: in1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 6
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 6
-  Text: in1  ...
-enterEvent_expression
-  File: m_input_mult.v , 6
-  Text: in2  ...
-enterExpression
-  File: m_input_mult.v , 6
-  Text: in2  ...
-enterPrimary
-  File: m_input_mult.v , 6
-  Text: in2  ...
-enterPrimary_literal
-  File: m_input_mult.v , 6
-  Text: in2  ...
-enterIdentifier
-  File: m_input_mult.v , 6
-  Text: in2  ...
-enterStatement_or_null
-  File: m_input_mult.v , 7
-  Text: case ( in2 ) 2'b000  ...
-enterStatement
-  File: m_input_mult.v , 7
-  Text: case ( in2 ) 2'b000  ...
-enterStatement_item
-  File: m_input_mult.v , 7
-  Text: case ( in2 ) 2'b000  ...
-enterCase_statement
-  File: m_input_mult.v , 7
-  Text: case ( in2 ) 2'b000  ...
-enterCaseKeyword_Case
-  File: m_input_mult.v , 7
-  Text: case  ...
-enterExpression
-  File: m_input_mult.v , 7
-  Text: in2  ...
-enterPrimary
-  File: m_input_mult.v , 7
-  Text: in2  ...
-enterPrimary_literal
-  File: m_input_mult.v , 7
-  Text: in2  ...
-enterIdentifier
-  File: m_input_mult.v , 7
-  Text: in2  ...
-enterCase_item
-  File: m_input_mult.v , 8
-  Text: 2'b000 : out2 = in1  ...
-enterExpression
-  File: m_input_mult.v , 8
-  Text: 2'b000  ...
-enterPrimary
-  File: m_input_mult.v , 8
-  Text: 2'b000  ...
-enterPrimary_literal
-  File: m_input_mult.v , 8
-  Text: 2'b000  ...
-enterNumber_Integral
-  File: m_input_mult.v , 8
-  Text: 2'b000  ...
-enterStatement_or_null
-  File: m_input_mult.v , 8
-  Text: out2 = in1 [ 0 ] ;  ...
-enterStatement
-  File: m_input_mult.v , 8
-  Text: out2 = in1 [ 0 ] ;  ...
-enterStatement_item
-  File: m_input_mult.v , 8
-  Text: out2 = in1 [ 0 ] ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 8
-  Text: out2 = in1 [ 0 ]  ...
-enterOperator_assignment
-  File: m_input_mult.v , 8
-  Text: out2 = in1 [ 0 ]  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 8
-  Text: out2  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 8
-  Text: out2  ...
-enterSelect
-  File: m_input_mult.v , 8
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 8
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 8
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 8
-  Text: in1 [ 0 ]  ...
-enterPrimary
-  File: m_input_mult.v , 8
-  Text: in1 [ 0 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 8
-  Text: in1 [ 0 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 8
-  Text: in1  ...
-enterSelect
-  File: m_input_mult.v , 8
-  Text: [ 0 ]  ...
-enterBit_select
-  File: m_input_mult.v , 8
-  Text: [ 0 ]  ...
-enterExpression
-  File: m_input_mult.v , 8
-  Text: 0  ...
-enterPrimary
-  File: m_input_mult.v , 8
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 8
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 8
-  Text: 0  ...
-enterCase_item
-  File: m_input_mult.v , 9
-  Text: 2'b001 : out2 = in1  ...
-enterExpression
-  File: m_input_mult.v , 9
-  Text: 2'b001  ...
-enterPrimary
-  File: m_input_mult.v , 9
-  Text: 2'b001  ...
-enterPrimary_literal
-  File: m_input_mult.v , 9
-  Text: 2'b001  ...
-enterNumber_Integral
-  File: m_input_mult.v , 9
-  Text: 2'b001  ...
-enterStatement_or_null
-  File: m_input_mult.v , 9
-  Text: out2 = in1 [ 1 ] ;  ...
-enterStatement
-  File: m_input_mult.v , 9
-  Text: out2 = in1 [ 1 ] ;  ...
-enterStatement_item
-  File: m_input_mult.v , 9
-  Text: out2 = in1 [ 1 ] ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 9
-  Text: out2 = in1 [ 1 ]  ...
-enterOperator_assignment
-  File: m_input_mult.v , 9
-  Text: out2 = in1 [ 1 ]  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 9
-  Text: out2  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 9
-  Text: out2  ...
-enterSelect
-  File: m_input_mult.v , 9
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 9
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 9
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 9
-  Text: in1 [ 1 ]  ...
-enterPrimary
-  File: m_input_mult.v , 9
-  Text: in1 [ 1 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 9
-  Text: in1 [ 1 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 9
-  Text: in1  ...
-enterSelect
-  File: m_input_mult.v , 9
-  Text: [ 1 ]  ...
-enterBit_select
-  File: m_input_mult.v , 9
-  Text: [ 1 ]  ...
-enterExpression
-  File: m_input_mult.v , 9
-  Text: 1  ...
-enterPrimary
-  File: m_input_mult.v , 9
-  Text: 1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 9
-  Text: 1  ...
-enterNumber_Integral
-  File: m_input_mult.v , 9
-  Text: 1  ...
-enterCase_item
-  File: m_input_mult.v , 10
-  Text: 2'b010 : out2 = in1  ...
-enterExpression
-  File: m_input_mult.v , 10
-  Text: 2'b010  ...
-enterPrimary
-  File: m_input_mult.v , 10
-  Text: 2'b010  ...
-enterPrimary_literal
-  File: m_input_mult.v , 10
-  Text: 2'b010  ...
-enterNumber_Integral
-  File: m_input_mult.v , 10
-  Text: 2'b010  ...
-enterStatement_or_null
-  File: m_input_mult.v , 10
-  Text: out2 = in1 [ 2 ] ;  ...
-enterStatement
-  File: m_input_mult.v , 10
-  Text: out2 = in1 [ 2 ] ;  ...
-enterStatement_item
-  File: m_input_mult.v , 10
-  Text: out2 = in1 [ 2 ] ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 10
-  Text: out2 = in1 [ 2 ]  ...
-enterOperator_assignment
-  File: m_input_mult.v , 10
-  Text: out2 = in1 [ 2 ]  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 10
-  Text: out2  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 10
-  Text: out2  ...
-enterSelect
-  File: m_input_mult.v , 10
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 10
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 10
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 10
-  Text: in1 [ 2 ]  ...
-enterPrimary
-  File: m_input_mult.v , 10
-  Text: in1 [ 2 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 10
-  Text: in1 [ 2 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 10
-  Text: in1  ...
-enterSelect
-  File: m_input_mult.v , 10
-  Text: [ 2 ]  ...
-enterBit_select
-  File: m_input_mult.v , 10
-  Text: [ 2 ]  ...
-enterExpression
-  File: m_input_mult.v , 10
-  Text: 2  ...
-enterPrimary
-  File: m_input_mult.v , 10
-  Text: 2  ...
-enterPrimary_literal
-  File: m_input_mult.v , 10
-  Text: 2  ...
-enterNumber_Integral
-  File: m_input_mult.v , 10
-  Text: 2  ...
-enterCase_item
-  File: m_input_mult.v , 11
-  Text: 2'b011 : out2 = in1  ...
-enterExpression
-  File: m_input_mult.v , 11
-  Text: 2'b011  ...
-enterPrimary
-  File: m_input_mult.v , 11
-  Text: 2'b011  ...
-enterPrimary_literal
-  File: m_input_mult.v , 11
-  Text: 2'b011  ...
-enterNumber_Integral
-  File: m_input_mult.v , 11
-  Text: 2'b011  ...
-enterStatement_or_null
-  File: m_input_mult.v , 11
-  Text: out2 = in1 [ 3 ] ;  ...
-enterStatement
-  File: m_input_mult.v , 11
-  Text: out2 = in1 [ 3 ] ;  ...
-enterStatement_item
-  File: m_input_mult.v , 11
-  Text: out2 = in1 [ 3 ] ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 11
-  Text: out2 = in1 [ 3 ]  ...
-enterOperator_assignment
-  File: m_input_mult.v , 11
-  Text: out2 = in1 [ 3 ]  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 11
-  Text: out2  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 11
-  Text: out2  ...
-enterSelect
-  File: m_input_mult.v , 11
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 11
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 11
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 11
-  Text: in1 [ 3 ]  ...
-enterPrimary
-  File: m_input_mult.v , 11
-  Text: in1 [ 3 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 11
-  Text: in1 [ 3 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 11
-  Text: in1  ...
-enterSelect
-  File: m_input_mult.v , 11
-  Text: [ 3 ]  ...
-enterBit_select
-  File: m_input_mult.v , 11
-  Text: [ 3 ]  ...
-enterExpression
-  File: m_input_mult.v , 11
-  Text: 3  ...
-enterPrimary
-  File: m_input_mult.v , 11
-  Text: 3  ...
-enterPrimary_literal
-  File: m_input_mult.v , 11
-  Text: 3  ...
-enterNumber_Integral
-  File: m_input_mult.v , 11
-  Text: 3  ...
-enterCase_item
-  File: m_input_mult.v , 12
-  Text: 2'b100 : out2 = in1  ...
-enterExpression
-  File: m_input_mult.v , 12
-  Text: 2'b100  ...
-enterPrimary
-  File: m_input_mult.v , 12
-  Text: 2'b100  ...
-enterPrimary_literal
-  File: m_input_mult.v , 12
-  Text: 2'b100  ...
-enterNumber_Integral
-  File: m_input_mult.v , 12
-  Text: 2'b100  ...
-enterStatement_or_null
-  File: m_input_mult.v , 12
-  Text: out2 = in1 [ 4 ] ;  ...
-enterStatement
-  File: m_input_mult.v , 12
-  Text: out2 = in1 [ 4 ] ;  ...
-enterStatement_item
-  File: m_input_mult.v , 12
-  Text: out2 = in1 [ 4 ] ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 12
-  Text: out2 = in1 [ 4 ]  ...
-enterOperator_assignment
-  File: m_input_mult.v , 12
-  Text: out2 = in1 [ 4 ]  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 12
-  Text: out2  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 12
-  Text: out2  ...
-enterSelect
-  File: m_input_mult.v , 12
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 12
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 12
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 12
-  Text: in1 [ 4 ]  ...
-enterPrimary
-  File: m_input_mult.v , 12
-  Text: in1 [ 4 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 12
-  Text: in1 [ 4 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 12
-  Text: in1  ...
-enterSelect
-  File: m_input_mult.v , 12
-  Text: [ 4 ]  ...
-enterBit_select
-  File: m_input_mult.v , 12
-  Text: [ 4 ]  ...
-enterExpression
-  File: m_input_mult.v , 12
-  Text: 4  ...
-enterPrimary
-  File: m_input_mult.v , 12
-  Text: 4  ...
-enterPrimary_literal
-  File: m_input_mult.v , 12
-  Text: 4  ...
-enterNumber_Integral
-  File: m_input_mult.v , 12
-  Text: 4  ...
-enterCase_item
-  File: m_input_mult.v , 13
-  Text: 2'b101 : out2 = in1  ...
-enterExpression
-  File: m_input_mult.v , 13
-  Text: 2'b101  ...
-enterPrimary
-  File: m_input_mult.v , 13
-  Text: 2'b101  ...
-enterPrimary_literal
-  File: m_input_mult.v , 13
-  Text: 2'b101  ...
-enterNumber_Integral
-  File: m_input_mult.v , 13
-  Text: 2'b101  ...
-enterStatement_or_null
-  File: m_input_mult.v , 13
-  Text: out2 = in1 [ 5 ] ;  ...
-enterStatement
-  File: m_input_mult.v , 13
-  Text: out2 = in1 [ 5 ] ;  ...
-enterStatement_item
-  File: m_input_mult.v , 13
-  Text: out2 = in1 [ 5 ] ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 13
-  Text: out2 = in1 [ 5 ]  ...
-enterOperator_assignment
-  File: m_input_mult.v , 13
-  Text: out2 = in1 [ 5 ]  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 13
-  Text: out2  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 13
-  Text: out2  ...
-enterSelect
-  File: m_input_mult.v , 13
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 13
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 13
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 13
-  Text: in1 [ 5 ]  ...
-enterPrimary
-  File: m_input_mult.v , 13
-  Text: in1 [ 5 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 13
-  Text: in1 [ 5 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 13
-  Text: in1  ...
-enterSelect
-  File: m_input_mult.v , 13
-  Text: [ 5 ]  ...
-enterBit_select
-  File: m_input_mult.v , 13
-  Text: [ 5 ]  ...
-enterExpression
-  File: m_input_mult.v , 13
-  Text: 5  ...
-enterPrimary
-  File: m_input_mult.v , 13
-  Text: 5  ...
-enterPrimary_literal
-  File: m_input_mult.v , 13
-  Text: 5  ...
-enterNumber_Integral
-  File: m_input_mult.v , 13
-  Text: 5  ...
-enterCase_item
-  File: m_input_mult.v , 14
-  Text: 2'b110 : out2 = in1  ...
-enterExpression
-  File: m_input_mult.v , 14
-  Text: 2'b110  ...
-enterPrimary
-  File: m_input_mult.v , 14
-  Text: 2'b110  ...
-enterPrimary_literal
-  File: m_input_mult.v , 14
-  Text: 2'b110  ...
-enterNumber_Integral
-  File: m_input_mult.v , 14
-  Text: 2'b110  ...
-enterStatement_or_null
-  File: m_input_mult.v , 14
-  Text: out2 = in1 [ 6 ] ;  ...
-enterStatement
-  File: m_input_mult.v , 14
-  Text: out2 = in1 [ 6 ] ;  ...
-enterStatement_item
-  File: m_input_mult.v , 14
-  Text: out2 = in1 [ 6 ] ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 14
-  Text: out2 = in1 [ 6 ]  ...
-enterOperator_assignment
-  File: m_input_mult.v , 14
-  Text: out2 = in1 [ 6 ]  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 14
-  Text: out2  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 14
-  Text: out2  ...
-enterSelect
-  File: m_input_mult.v , 14
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 14
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 14
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 14
-  Text: in1 [ 6 ]  ...
-enterPrimary
-  File: m_input_mult.v , 14
-  Text: in1 [ 6 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 14
-  Text: in1 [ 6 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 14
-  Text: in1  ...
-enterSelect
-  File: m_input_mult.v , 14
-  Text: [ 6 ]  ...
-enterBit_select
-  File: m_input_mult.v , 14
-  Text: [ 6 ]  ...
-enterExpression
-  File: m_input_mult.v , 14
-  Text: 6  ...
-enterPrimary
-  File: m_input_mult.v , 14
-  Text: 6  ...
-enterPrimary_literal
-  File: m_input_mult.v , 14
-  Text: 6  ...
-enterNumber_Integral
-  File: m_input_mult.v , 14
-  Text: 6  ...
-enterCase_item
-  File: m_input_mult.v , 15
-  Text: 2'b111 : out2 = in1  ...
-enterExpression
-  File: m_input_mult.v , 15
-  Text: 2'b111  ...
-enterPrimary
-  File: m_input_mult.v , 15
-  Text: 2'b111  ...
-enterPrimary_literal
-  File: m_input_mult.v , 15
-  Text: 2'b111  ...
-enterNumber_Integral
-  File: m_input_mult.v , 15
-  Text: 2'b111  ...
-enterStatement_or_null
-  File: m_input_mult.v , 15
-  Text: out2 = in1 [ 7 ] ;  ...
-enterStatement
-  File: m_input_mult.v , 15
-  Text: out2 = in1 [ 7 ] ;  ...
-enterStatement_item
-  File: m_input_mult.v , 15
-  Text: out2 = in1 [ 7 ] ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 15
-  Text: out2 = in1 [ 7 ]  ...
-enterOperator_assignment
-  File: m_input_mult.v , 15
-  Text: out2 = in1 [ 7 ]  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 15
-  Text: out2  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 15
-  Text: out2  ...
-enterSelect
-  File: m_input_mult.v , 15
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 15
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 15
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 15
-  Text: in1 [ 7 ]  ...
-enterPrimary
-  File: m_input_mult.v , 15
-  Text: in1 [ 7 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 15
-  Text: in1 [ 7 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 15
-  Text: in1  ...
-enterSelect
-  File: m_input_mult.v , 15
-  Text: [ 7 ]  ...
-enterBit_select
-  File: m_input_mult.v , 15
-  Text: [ 7 ]  ...
-enterExpression
-  File: m_input_mult.v , 15
-  Text: 7  ...
-enterPrimary
-  File: m_input_mult.v , 15
-  Text: 7  ...
-enterPrimary_literal
-  File: m_input_mult.v , 15
-  Text: 7  ...
-enterNumber_Integral
-  File: m_input_mult.v , 15
-  Text: 7  ...
-enterEndcase
-  File: m_input_mult.v , 16
-  Text: endcase  ...
-enterEndmodule
-  File: m_input_mult.v , 18
-  Text: endmodule  ...
-enterDescription
-  File: m_input_mult.v , 21
-  Text: module case2 ( in1 , ...
-enterModule_declaration
-  File: m_input_mult.v , 21
-  Text: module case2 ( in1 , ...
-enterModule_nonansi_header
-  File: m_input_mult.v , 21
-  Text: module case2 ( in1 , ...
-enterModule_keyword
-  File: m_input_mult.v , 21
-  Text: module  ...
-enterIdentifier
-  File: m_input_mult.v , 21
-  Text: case2  ...
-enterList_of_ports
-  File: m_input_mult.v , 21
-  Text: ( in1 , sel , out2 ) ...
-enterPort
-  File: m_input_mult.v , 21
-  Text: in1  ...
-enterPort_expression
-  File: m_input_mult.v , 21
-  Text: in1  ...
-enterPort_reference
-  File: m_input_mult.v , 21
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 21
-  Text: in1  ...
-enterConstant_select
-  File: m_input_mult.v , 21
-  Text:  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 21
-  Text:  ...
-enterPort
-  File: m_input_mult.v , 21
-  Text: sel  ...
-enterPort_expression
-  File: m_input_mult.v , 21
-  Text: sel  ...
-enterPort_reference
-  File: m_input_mult.v , 21
-  Text: sel  ...
-enterIdentifier
-  File: m_input_mult.v , 21
-  Text: sel  ...
-enterConstant_select
-  File: m_input_mult.v , 21
-  Text:  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 21
-  Text:  ...
-enterPort
-  File: m_input_mult.v , 21
-  Text: out2  ...
-enterPort_expression
-  File: m_input_mult.v , 21
-  Text: out2  ...
-enterPort_reference
-  File: m_input_mult.v , 21
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 21
-  Text: out2  ...
-enterConstant_select
-  File: m_input_mult.v , 21
-  Text:  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 21
-  Text:  ...
-enterModule_item
-  File: m_input_mult.v , 22
-  Text: input [ 1 : 0 ] in1  ...
-enterPort_declaration
-  File: m_input_mult.v , 22
-  Text: input [ 1 : 0 ] in1  ...
-enterInput_declaration
-  File: m_input_mult.v , 22
-  Text: input [ 1 : 0 ] in1  ...
-enterNet_port_type
-  File: m_input_mult.v , 22
-  Text: [ 1 : 0 ]  ...
-enterData_type_or_implicit
-  File: m_input_mult.v , 22
-  Text: [ 1 : 0 ]  ...
-enterPacked_dimension
-  File: m_input_mult.v , 22
-  Text: [ 1 : 0 ]  ...
-enterConstant_range
-  File: m_input_mult.v , 22
-  Text: 1 : 0  ...
-enterConstant_expression
-  File: m_input_mult.v , 22
-  Text: 1  ...
-enterConstant_primary
-  File: m_input_mult.v , 22
-  Text: 1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 22
-  Text: 1  ...
-enterNumber_Integral
-  File: m_input_mult.v , 22
-  Text: 1  ...
-enterConstant_expression
-  File: m_input_mult.v , 22
-  Text: 0  ...
-enterConstant_primary
-  File: m_input_mult.v , 22
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 22
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 22
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: m_input_mult.v , 22
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 22
-  Text: in1  ...
-enterModule_item
-  File: m_input_mult.v , 23
-  Text: input [ 2 : 0 ] sel  ...
-enterPort_declaration
-  File: m_input_mult.v , 23
-  Text: input [ 2 : 0 ] sel  ...
-enterInput_declaration
-  File: m_input_mult.v , 23
-  Text: input [ 2 : 0 ] sel  ...
-enterNet_port_type
-  File: m_input_mult.v , 23
-  Text: [ 2 : 0 ]  ...
-enterData_type_or_implicit
-  File: m_input_mult.v , 23
-  Text: [ 2 : 0 ]  ...
-enterPacked_dimension
-  File: m_input_mult.v , 23
-  Text: [ 2 : 0 ]  ...
-enterConstant_range
-  File: m_input_mult.v , 23
-  Text: 2 : 0  ...
-enterConstant_expression
-  File: m_input_mult.v , 23
-  Text: 2  ...
-enterConstant_primary
-  File: m_input_mult.v , 23
-  Text: 2  ...
-enterPrimary_literal
-  File: m_input_mult.v , 23
-  Text: 2  ...
-enterNumber_Integral
-  File: m_input_mult.v , 23
-  Text: 2  ...
-enterConstant_expression
-  File: m_input_mult.v , 23
-  Text: 0  ...
-enterConstant_primary
-  File: m_input_mult.v , 23
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 23
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 23
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: m_input_mult.v , 23
-  Text: sel  ...
-enterIdentifier
-  File: m_input_mult.v , 23
-  Text: sel  ...
-enterModule_item
-  File: m_input_mult.v , 24
-  Text: output [ 15 : 0 ] ou ...
-enterPort_declaration
-  File: m_input_mult.v , 24
-  Text: output [ 15 : 0 ] ou ...
-enterOutput_declaration
-  File: m_input_mult.v , 24
-  Text: output [ 15 : 0 ] ou ...
-enterNet_port_type
-  File: m_input_mult.v , 24
-  Text: [ 15 : 0 ]  ...
-enterData_type_or_implicit
-  File: m_input_mult.v , 24
-  Text: [ 15 : 0 ]  ...
-enterPacked_dimension
-  File: m_input_mult.v , 24
-  Text: [ 15 : 0 ]  ...
-enterConstant_range
-  File: m_input_mult.v , 24
-  Text: 15 : 0  ...
-enterConstant_expression
-  File: m_input_mult.v , 24
-  Text: 15  ...
-enterConstant_primary
-  File: m_input_mult.v , 24
-  Text: 15  ...
-enterPrimary_literal
-  File: m_input_mult.v , 24
-  Text: 15  ...
-enterNumber_Integral
-  File: m_input_mult.v , 24
-  Text: 15  ...
-enterConstant_expression
-  File: m_input_mult.v , 24
-  Text: 0  ...
-enterConstant_primary
-  File: m_input_mult.v , 24
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 24
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 24
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: m_input_mult.v , 24
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 24
-  Text: out2  ...
-enterModule_item
-  File: m_input_mult.v , 25
-  Text: reg [ 7 : 0 ] select ...
-enterNon_port_module_item
-  File: m_input_mult.v , 25
-  Text: reg [ 7 : 0 ] select ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 25
-  Text: reg [ 7 : 0 ] select ...
-enterModule_common_item
-  File: m_input_mult.v , 25
-  Text: reg [ 7 : 0 ] select ...
-enterModule_or_generate_item_declaration
-  File: m_input_mult.v , 25
-  Text: reg [ 7 : 0 ] select ...
-enterPackage_or_generate_item_declaration
-  File: m_input_mult.v , 25
-  Text: reg [ 7 : 0 ] select ...
-enterData_declaration
-  File: m_input_mult.v , 25
-  Text: reg [ 7 : 0 ] select ...
-enterVariable_declaration
-  File: m_input_mult.v , 25
-  Text: reg [ 7 : 0 ] select ...
-enterData_type
-  File: m_input_mult.v , 25
-  Text: reg [ 7 : 0 ]  ...
-enterIntVec_TypeReg
-  File: m_input_mult.v , 25
-  Text: reg  ...
-enterPacked_dimension
-  File: m_input_mult.v , 25
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: m_input_mult.v , 25
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: m_input_mult.v , 25
-  Text: 7  ...
-enterConstant_primary
-  File: m_input_mult.v , 25
-  Text: 7  ...
-enterPrimary_literal
-  File: m_input_mult.v , 25
-  Text: 7  ...
-enterNumber_Integral
-  File: m_input_mult.v , 25
-  Text: 7  ...
-enterConstant_expression
-  File: m_input_mult.v , 25
-  Text: 0  ...
-enterConstant_primary
-  File: m_input_mult.v , 25
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 25
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 25
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: m_input_mult.v , 25
-  Text: select  ...
-enterVariable_decl_assignment
-  File: m_input_mult.v , 25
-  Text: select  ...
-enterIdentifier
-  File: m_input_mult.v , 25
-  Text: select  ...
-enterModule_item
-  File: m_input_mult.v , 27
-  Text: always @ ( sel ) cas ...
-enterNon_port_module_item
-  File: m_input_mult.v , 27
-  Text: always @ ( sel ) cas ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 27
-  Text: always @ ( sel ) cas ...
-enterModule_common_item
-  File: m_input_mult.v , 27
-  Text: always @ ( sel ) cas ...
-enterAlways_construct
-  File: m_input_mult.v , 27
-  Text: always @ ( sel ) cas ...
-enterAlwaysKeywd_Always
-  File: m_input_mult.v , 27
-  Text: always  ...
-enterStatement
-  File: m_input_mult.v , 27
-  Text: @ ( sel ) case ( sel ...
-enterStatement_item
-  File: m_input_mult.v , 27
-  Text: @ ( sel ) case ( sel ...
-enterProcedural_timing_control_statement
-  File: m_input_mult.v , 27
-  Text: @ ( sel ) case ( sel ...
-enterProcedural_timing_control
-  File: m_input_mult.v , 27
-  Text: @ ( sel )  ...
-enterEvent_control
-  File: m_input_mult.v , 27
-  Text: @ ( sel )  ...
-enterEvent_expression
-  File: m_input_mult.v , 27
-  Text: sel  ...
-enterExpression
-  File: m_input_mult.v , 27
-  Text: sel  ...
-enterPrimary
-  File: m_input_mult.v , 27
-  Text: sel  ...
-enterPrimary_literal
-  File: m_input_mult.v , 27
-  Text: sel  ...
-enterIdentifier
-  File: m_input_mult.v , 27
-  Text: sel  ...
-enterStatement_or_null
-  File: m_input_mult.v , 28
-  Text: case ( sel ) 3'b000  ...
-enterStatement
-  File: m_input_mult.v , 28
-  Text: case ( sel ) 3'b000  ...
-enterStatement_item
-  File: m_input_mult.v , 28
-  Text: case ( sel ) 3'b000  ...
-enterCase_statement
-  File: m_input_mult.v , 28
-  Text: case ( sel ) 3'b000  ...
-enterCaseKeyword_Case
-  File: m_input_mult.v , 28
-  Text: case  ...
-enterExpression
-  File: m_input_mult.v , 28
-  Text: sel  ...
-enterPrimary
-  File: m_input_mult.v , 28
-  Text: sel  ...
-enterPrimary_literal
-  File: m_input_mult.v , 28
-  Text: sel  ...
-enterIdentifier
-  File: m_input_mult.v , 28
-  Text: sel  ...
-enterCase_item
-  File: m_input_mult.v , 29
-  Text: 3'b000 : select = 8' ...
-enterExpression
-  File: m_input_mult.v , 29
-  Text: 3'b000  ...
-enterPrimary
-  File: m_input_mult.v , 29
-  Text: 3'b000  ...
-enterPrimary_literal
-  File: m_input_mult.v , 29
-  Text: 3'b000  ...
-enterNumber_Integral
-  File: m_input_mult.v , 29
-  Text: 3'b000  ...
-enterStatement_or_null
-  File: m_input_mult.v , 29
-  Text: select = 8'b00000001 ...
-enterStatement
-  File: m_input_mult.v , 29
-  Text: select = 8'b00000001 ...
-enterStatement_item
-  File: m_input_mult.v , 29
-  Text: select = 8'b00000001 ...
-enterBlocking_assignment
-  File: m_input_mult.v , 29
-  Text: select = 8'b00000001 ...
-enterOperator_assignment
-  File: m_input_mult.v , 29
-  Text: select = 8'b00000001 ...
-enterVariable_lvalue
-  File: m_input_mult.v , 29
-  Text: select  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 29
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 29
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 29
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 29
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 29
-  Text: 8'b00000001  ...
-enterPrimary
-  File: m_input_mult.v , 29
-  Text: 8'b00000001  ...
-enterPrimary_literal
-  File: m_input_mult.v , 29
-  Text: 8'b00000001  ...
-enterNumber_Integral
-  File: m_input_mult.v , 29
-  Text: 8'b00000001  ...
-enterCase_item
-  File: m_input_mult.v , 30
-  Text: 3'b001 : select = 8' ...
-enterExpression
-  File: m_input_mult.v , 30
-  Text: 3'b001  ...
-enterPrimary
-  File: m_input_mult.v , 30
-  Text: 3'b001  ...
-enterPrimary_literal
-  File: m_input_mult.v , 30
-  Text: 3'b001  ...
-enterNumber_Integral
-  File: m_input_mult.v , 30
-  Text: 3'b001  ...
-enterStatement_or_null
-  File: m_input_mult.v , 30
-  Text: select = 8'b00000010 ...
-enterStatement
-  File: m_input_mult.v , 30
-  Text: select = 8'b00000010 ...
-enterStatement_item
-  File: m_input_mult.v , 30
-  Text: select = 8'b00000010 ...
-enterBlocking_assignment
-  File: m_input_mult.v , 30
-  Text: select = 8'b00000010 ...
-enterOperator_assignment
-  File: m_input_mult.v , 30
-  Text: select = 8'b00000010 ...
-enterVariable_lvalue
-  File: m_input_mult.v , 30
-  Text: select  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 30
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 30
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 30
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 30
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 30
-  Text: 8'b00000010  ...
-enterPrimary
-  File: m_input_mult.v , 30
-  Text: 8'b00000010  ...
-enterPrimary_literal
-  File: m_input_mult.v , 30
-  Text: 8'b00000010  ...
-enterNumber_Integral
-  File: m_input_mult.v , 30
-  Text: 8'b00000010  ...
-enterCase_item
-  File: m_input_mult.v , 31
-  Text: 3'b010 : select = 8' ...
-enterExpression
-  File: m_input_mult.v , 31
-  Text: 3'b010  ...
-enterPrimary
-  File: m_input_mult.v , 31
-  Text: 3'b010  ...
-enterPrimary_literal
-  File: m_input_mult.v , 31
-  Text: 3'b010  ...
-enterNumber_Integral
-  File: m_input_mult.v , 31
-  Text: 3'b010  ...
-enterStatement_or_null
-  File: m_input_mult.v , 31
-  Text: select = 8'b00000100 ...
-enterStatement
-  File: m_input_mult.v , 31
-  Text: select = 8'b00000100 ...
-enterStatement_item
-  File: m_input_mult.v , 31
-  Text: select = 8'b00000100 ...
-enterBlocking_assignment
-  File: m_input_mult.v , 31
-  Text: select = 8'b00000100 ...
-enterOperator_assignment
-  File: m_input_mult.v , 31
-  Text: select = 8'b00000100 ...
-enterVariable_lvalue
-  File: m_input_mult.v , 31
-  Text: select  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 31
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 31
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 31
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 31
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 31
-  Text: 8'b00000100  ...
-enterPrimary
-  File: m_input_mult.v , 31
-  Text: 8'b00000100  ...
-enterPrimary_literal
-  File: m_input_mult.v , 31
-  Text: 8'b00000100  ...
-enterNumber_Integral
-  File: m_input_mult.v , 31
-  Text: 8'b00000100  ...
-enterCase_item
-  File: m_input_mult.v , 32
-  Text: 3'b011 : select = 8' ...
-enterExpression
-  File: m_input_mult.v , 32
-  Text: 3'b011  ...
-enterPrimary
-  File: m_input_mult.v , 32
-  Text: 3'b011  ...
-enterPrimary_literal
-  File: m_input_mult.v , 32
-  Text: 3'b011  ...
-enterNumber_Integral
-  File: m_input_mult.v , 32
-  Text: 3'b011  ...
-enterStatement_or_null
-  File: m_input_mult.v , 32
-  Text: select = 8'b00001000 ...
-enterStatement
-  File: m_input_mult.v , 32
-  Text: select = 8'b00001000 ...
-enterStatement_item
-  File: m_input_mult.v , 32
-  Text: select = 8'b00001000 ...
-enterBlocking_assignment
-  File: m_input_mult.v , 32
-  Text: select = 8'b00001000 ...
-enterOperator_assignment
-  File: m_input_mult.v , 32
-  Text: select = 8'b00001000 ...
-enterVariable_lvalue
-  File: m_input_mult.v , 32
-  Text: select  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 32
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 32
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 32
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 32
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 32
-  Text: 8'b00001000  ...
-enterPrimary
-  File: m_input_mult.v , 32
-  Text: 8'b00001000  ...
-enterPrimary_literal
-  File: m_input_mult.v , 32
-  Text: 8'b00001000  ...
-enterNumber_Integral
-  File: m_input_mult.v , 32
-  Text: 8'b00001000  ...
-enterCase_item
-  File: m_input_mult.v , 33
-  Text: 3'b100 : select = 8' ...
-enterExpression
-  File: m_input_mult.v , 33
-  Text: 3'b100  ...
-enterPrimary
-  File: m_input_mult.v , 33
-  Text: 3'b100  ...
-enterPrimary_literal
-  File: m_input_mult.v , 33
-  Text: 3'b100  ...
-enterNumber_Integral
-  File: m_input_mult.v , 33
-  Text: 3'b100  ...
-enterStatement_or_null
-  File: m_input_mult.v , 33
-  Text: select = 8'b00010000 ...
-enterStatement
-  File: m_input_mult.v , 33
-  Text: select = 8'b00010000 ...
-enterStatement_item
-  File: m_input_mult.v , 33
-  Text: select = 8'b00010000 ...
-enterBlocking_assignment
-  File: m_input_mult.v , 33
-  Text: select = 8'b00010000 ...
-enterOperator_assignment
-  File: m_input_mult.v , 33
-  Text: select = 8'b00010000 ...
-enterVariable_lvalue
-  File: m_input_mult.v , 33
-  Text: select  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 33
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 33
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 33
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 33
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 33
-  Text: 8'b00010000  ...
-enterPrimary
-  File: m_input_mult.v , 33
-  Text: 8'b00010000  ...
-enterPrimary_literal
-  File: m_input_mult.v , 33
-  Text: 8'b00010000  ...
-enterNumber_Integral
-  File: m_input_mult.v , 33
-  Text: 8'b00010000  ...
-enterCase_item
-  File: m_input_mult.v , 34
-  Text: 3'b101 : select = 8' ...
-enterExpression
-  File: m_input_mult.v , 34
-  Text: 3'b101  ...
-enterPrimary
-  File: m_input_mult.v , 34
-  Text: 3'b101  ...
-enterPrimary_literal
-  File: m_input_mult.v , 34
-  Text: 3'b101  ...
-enterNumber_Integral
-  File: m_input_mult.v , 34
-  Text: 3'b101  ...
-enterStatement_or_null
-  File: m_input_mult.v , 34
-  Text: select = 8'b00100000 ...
-enterStatement
-  File: m_input_mult.v , 34
-  Text: select = 8'b00100000 ...
-enterStatement_item
-  File: m_input_mult.v , 34
-  Text: select = 8'b00100000 ...
-enterBlocking_assignment
-  File: m_input_mult.v , 34
-  Text: select = 8'b00100000 ...
-enterOperator_assignment
-  File: m_input_mult.v , 34
-  Text: select = 8'b00100000 ...
-enterVariable_lvalue
-  File: m_input_mult.v , 34
-  Text: select  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 34
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 34
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 34
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 34
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 34
-  Text: 8'b00100000  ...
-enterPrimary
-  File: m_input_mult.v , 34
-  Text: 8'b00100000  ...
-enterPrimary_literal
-  File: m_input_mult.v , 34
-  Text: 8'b00100000  ...
-enterNumber_Integral
-  File: m_input_mult.v , 34
-  Text: 8'b00100000  ...
-enterCase_item
-  File: m_input_mult.v , 35
-  Text: 3'b110 : select = 8' ...
-enterExpression
-  File: m_input_mult.v , 35
-  Text: 3'b110  ...
-enterPrimary
-  File: m_input_mult.v , 35
-  Text: 3'b110  ...
-enterPrimary_literal
-  File: m_input_mult.v , 35
-  Text: 3'b110  ...
-enterNumber_Integral
-  File: m_input_mult.v , 35
-  Text: 3'b110  ...
-enterStatement_or_null
-  File: m_input_mult.v , 35
-  Text: select = 8'b01000000 ...
-enterStatement
-  File: m_input_mult.v , 35
-  Text: select = 8'b01000000 ...
-enterStatement_item
-  File: m_input_mult.v , 35
-  Text: select = 8'b01000000 ...
-enterBlocking_assignment
-  File: m_input_mult.v , 35
-  Text: select = 8'b01000000 ...
-enterOperator_assignment
-  File: m_input_mult.v , 35
-  Text: select = 8'b01000000 ...
-enterVariable_lvalue
-  File: m_input_mult.v , 35
-  Text: select  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 35
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 35
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 35
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 35
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 35
-  Text: 8'b01000000  ...
-enterPrimary
-  File: m_input_mult.v , 35
-  Text: 8'b01000000  ...
-enterPrimary_literal
-  File: m_input_mult.v , 35
-  Text: 8'b01000000  ...
-enterNumber_Integral
-  File: m_input_mult.v , 35
-  Text: 8'b01000000  ...
-enterCase_item
-  File: m_input_mult.v , 36
-  Text: 3'b111 : select = 8' ...
-enterExpression
-  File: m_input_mult.v , 36
-  Text: 3'b111  ...
-enterPrimary
-  File: m_input_mult.v , 36
-  Text: 3'b111  ...
-enterPrimary_literal
-  File: m_input_mult.v , 36
-  Text: 3'b111  ...
-enterNumber_Integral
-  File: m_input_mult.v , 36
-  Text: 3'b111  ...
-enterStatement_or_null
-  File: m_input_mult.v , 36
-  Text: select = 8'b10000000 ...
-enterStatement
-  File: m_input_mult.v , 36
-  Text: select = 8'b10000000 ...
-enterStatement_item
-  File: m_input_mult.v , 36
-  Text: select = 8'b10000000 ...
-enterBlocking_assignment
-  File: m_input_mult.v , 36
-  Text: select = 8'b10000000 ...
-enterOperator_assignment
-  File: m_input_mult.v , 36
-  Text: select = 8'b10000000 ...
-enterVariable_lvalue
-  File: m_input_mult.v , 36
-  Text: select  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 36
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 36
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 36
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 36
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 36
-  Text: 8'b10000000  ...
-enterPrimary
-  File: m_input_mult.v , 36
-  Text: 8'b10000000  ...
-enterPrimary_literal
-  File: m_input_mult.v , 36
-  Text: 8'b10000000  ...
-enterNumber_Integral
-  File: m_input_mult.v , 36
-  Text: 8'b10000000  ...
-enterEndcase
-  File: m_input_mult.v , 37
-  Text: endcase  ...
-enterModule_item
-  File: m_input_mult.v , 38
-  Text: assign out2 [ 1 : 0  ...
-enterNon_port_module_item
-  File: m_input_mult.v , 38
-  Text: assign out2 [ 1 : 0  ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 38
-  Text: assign out2 [ 1 : 0  ...
-enterModule_common_item
-  File: m_input_mult.v , 38
-  Text: assign out2 [ 1 : 0  ...
-enterContinuous_assign
-  File: m_input_mult.v , 38
-  Text: assign out2 [ 1 : 0  ...
-enterList_of_net_assignments
-  File: m_input_mult.v , 38
-  Text: out2 [ 1 : 0 ] = in1 ...
-enterNet_assignment
-  File: m_input_mult.v , 38
-  Text: out2 [ 1 : 0 ] = in1 ...
-enterNet_lvalue
-  File: m_input_mult.v , 38
-  Text: out2 [ 1 : 0 ]  ...
-enterPs_or_hierarchical_identifier
-  File: m_input_mult.v , 38
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 38
-  Text: out2  ...
-enterConstant_select
-  File: m_input_mult.v , 38
-  Text: [ 1 : 0 ]  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 38
-  Text:  ...
-enterConstant_part_select_range
-  File: m_input_mult.v , 38
-  Text: 1 : 0  ...
-enterConstant_range
-  File: m_input_mult.v , 38
-  Text: 1 : 0  ...
-enterConstant_expression
-  File: m_input_mult.v , 38
-  Text: 1  ...
-enterConstant_primary
-  File: m_input_mult.v , 38
-  Text: 1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 38
-  Text: 1  ...
-enterNumber_Integral
-  File: m_input_mult.v , 38
-  Text: 1  ...
-enterConstant_expression
-  File: m_input_mult.v , 38
-  Text: 0  ...
-enterConstant_primary
-  File: m_input_mult.v , 38
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 38
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 38
-  Text: 0  ...
-enterExpression
-  File: m_input_mult.v , 38
-  Text: in1 & select [ 0 ]  ...
-enterExpression
-  File: m_input_mult.v , 38
-  Text: in1  ...
-enterPrimary
-  File: m_input_mult.v , 38
-  Text: in1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 38
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 38
-  Text: in1  ...
-enterBinOp_BitwAnd
-  File: m_input_mult.v , 38
-  Text: &  ...
-enterExpression
-  File: m_input_mult.v , 38
-  Text: select [ 0 ]  ...
-enterPrimary
-  File: m_input_mult.v , 38
-  Text: select [ 0 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 38
-  Text: select [ 0 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 38
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 38
-  Text: [ 0 ]  ...
-enterBit_select
-  File: m_input_mult.v , 38
-  Text: [ 0 ]  ...
-enterExpression
-  File: m_input_mult.v , 38
-  Text: 0  ...
-enterPrimary
-  File: m_input_mult.v , 38
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 38
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 38
-  Text: 0  ...
-enterModule_item
-  File: m_input_mult.v , 39
-  Text: assign out2 [ 3 : 2  ...
-enterNon_port_module_item
-  File: m_input_mult.v , 39
-  Text: assign out2 [ 3 : 2  ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 39
-  Text: assign out2 [ 3 : 2  ...
-enterModule_common_item
-  File: m_input_mult.v , 39
-  Text: assign out2 [ 3 : 2  ...
-enterContinuous_assign
-  File: m_input_mult.v , 39
-  Text: assign out2 [ 3 : 2  ...
-enterList_of_net_assignments
-  File: m_input_mult.v , 39
-  Text: out2 [ 3 : 2 ] = in1 ...
-enterNet_assignment
-  File: m_input_mult.v , 39
-  Text: out2 [ 3 : 2 ] = in1 ...
-enterNet_lvalue
-  File: m_input_mult.v , 39
-  Text: out2 [ 3 : 2 ]  ...
-enterPs_or_hierarchical_identifier
-  File: m_input_mult.v , 39
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 39
-  Text: out2  ...
-enterConstant_select
-  File: m_input_mult.v , 39
-  Text: [ 3 : 2 ]  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 39
-  Text:  ...
-enterConstant_part_select_range
-  File: m_input_mult.v , 39
-  Text: 3 : 2  ...
-enterConstant_range
-  File: m_input_mult.v , 39
-  Text: 3 : 2  ...
-enterConstant_expression
-  File: m_input_mult.v , 39
-  Text: 3  ...
-enterConstant_primary
-  File: m_input_mult.v , 39
-  Text: 3  ...
-enterPrimary_literal
-  File: m_input_mult.v , 39
-  Text: 3  ...
-enterNumber_Integral
-  File: m_input_mult.v , 39
-  Text: 3  ...
-enterConstant_expression
-  File: m_input_mult.v , 39
-  Text: 2  ...
-enterConstant_primary
-  File: m_input_mult.v , 39
-  Text: 2  ...
-enterPrimary_literal
-  File: m_input_mult.v , 39
-  Text: 2  ...
-enterNumber_Integral
-  File: m_input_mult.v , 39
-  Text: 2  ...
-enterExpression
-  File: m_input_mult.v , 39
-  Text: in1 & select [ 1 ]  ...
-enterExpression
-  File: m_input_mult.v , 39
-  Text: in1  ...
-enterPrimary
-  File: m_input_mult.v , 39
-  Text: in1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 39
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 39
-  Text: in1  ...
-enterBinOp_BitwAnd
-  File: m_input_mult.v , 39
-  Text: &  ...
-enterExpression
-  File: m_input_mult.v , 39
-  Text: select [ 1 ]  ...
-enterPrimary
-  File: m_input_mult.v , 39
-  Text: select [ 1 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 39
-  Text: select [ 1 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 39
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 39
-  Text: [ 1 ]  ...
-enterBit_select
-  File: m_input_mult.v , 39
-  Text: [ 1 ]  ...
-enterExpression
-  File: m_input_mult.v , 39
-  Text: 1  ...
-enterPrimary
-  File: m_input_mult.v , 39
-  Text: 1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 39
-  Text: 1  ...
-enterNumber_Integral
-  File: m_input_mult.v , 39
-  Text: 1  ...
-enterModule_item
-  File: m_input_mult.v , 40
-  Text: assign out2 [ 5 : 4  ...
-enterNon_port_module_item
-  File: m_input_mult.v , 40
-  Text: assign out2 [ 5 : 4  ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 40
-  Text: assign out2 [ 5 : 4  ...
-enterModule_common_item
-  File: m_input_mult.v , 40
-  Text: assign out2 [ 5 : 4  ...
-enterContinuous_assign
-  File: m_input_mult.v , 40
-  Text: assign out2 [ 5 : 4  ...
-enterList_of_net_assignments
-  File: m_input_mult.v , 40
-  Text: out2 [ 5 : 4 ] = in1 ...
-enterNet_assignment
-  File: m_input_mult.v , 40
-  Text: out2 [ 5 : 4 ] = in1 ...
-enterNet_lvalue
-  File: m_input_mult.v , 40
-  Text: out2 [ 5 : 4 ]  ...
-enterPs_or_hierarchical_identifier
-  File: m_input_mult.v , 40
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 40
-  Text: out2  ...
-enterConstant_select
-  File: m_input_mult.v , 40
-  Text: [ 5 : 4 ]  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 40
-  Text:  ...
-enterConstant_part_select_range
-  File: m_input_mult.v , 40
-  Text: 5 : 4  ...
-enterConstant_range
-  File: m_input_mult.v , 40
-  Text: 5 : 4  ...
-enterConstant_expression
-  File: m_input_mult.v , 40
-  Text: 5  ...
-enterConstant_primary
-  File: m_input_mult.v , 40
-  Text: 5  ...
-enterPrimary_literal
-  File: m_input_mult.v , 40
-  Text: 5  ...
-enterNumber_Integral
-  File: m_input_mult.v , 40
-  Text: 5  ...
-enterConstant_expression
-  File: m_input_mult.v , 40
-  Text: 4  ...
-enterConstant_primary
-  File: m_input_mult.v , 40
-  Text: 4  ...
-enterPrimary_literal
-  File: m_input_mult.v , 40
-  Text: 4  ...
-enterNumber_Integral
-  File: m_input_mult.v , 40
-  Text: 4  ...
-enterExpression
-  File: m_input_mult.v , 40
-  Text: in1 & select [ 2 ]  ...
-enterExpression
-  File: m_input_mult.v , 40
-  Text: in1  ...
-enterPrimary
-  File: m_input_mult.v , 40
-  Text: in1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 40
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 40
-  Text: in1  ...
-enterBinOp_BitwAnd
-  File: m_input_mult.v , 40
-  Text: &  ...
-enterExpression
-  File: m_input_mult.v , 40
-  Text: select [ 2 ]  ...
-enterPrimary
-  File: m_input_mult.v , 40
-  Text: select [ 2 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 40
-  Text: select [ 2 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 40
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 40
-  Text: [ 2 ]  ...
-enterBit_select
-  File: m_input_mult.v , 40
-  Text: [ 2 ]  ...
-enterExpression
-  File: m_input_mult.v , 40
-  Text: 2  ...
-enterPrimary
-  File: m_input_mult.v , 40
-  Text: 2  ...
-enterPrimary_literal
-  File: m_input_mult.v , 40
-  Text: 2  ...
-enterNumber_Integral
-  File: m_input_mult.v , 40
-  Text: 2  ...
-enterModule_item
-  File: m_input_mult.v , 41
-  Text: assign out2 [ 7 : 6  ...
-enterNon_port_module_item
-  File: m_input_mult.v , 41
-  Text: assign out2 [ 7 : 6  ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 41
-  Text: assign out2 [ 7 : 6  ...
-enterModule_common_item
-  File: m_input_mult.v , 41
-  Text: assign out2 [ 7 : 6  ...
-enterContinuous_assign
-  File: m_input_mult.v , 41
-  Text: assign out2 [ 7 : 6  ...
-enterList_of_net_assignments
-  File: m_input_mult.v , 41
-  Text: out2 [ 7 : 6 ] = in1 ...
-enterNet_assignment
-  File: m_input_mult.v , 41
-  Text: out2 [ 7 : 6 ] = in1 ...
-enterNet_lvalue
-  File: m_input_mult.v , 41
-  Text: out2 [ 7 : 6 ]  ...
-enterPs_or_hierarchical_identifier
-  File: m_input_mult.v , 41
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 41
-  Text: out2  ...
-enterConstant_select
-  File: m_input_mult.v , 41
-  Text: [ 7 : 6 ]  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 41
-  Text:  ...
-enterConstant_part_select_range
-  File: m_input_mult.v , 41
-  Text: 7 : 6  ...
-enterConstant_range
-  File: m_input_mult.v , 41
-  Text: 7 : 6  ...
-enterConstant_expression
-  File: m_input_mult.v , 41
-  Text: 7  ...
-enterConstant_primary
-  File: m_input_mult.v , 41
-  Text: 7  ...
-enterPrimary_literal
-  File: m_input_mult.v , 41
-  Text: 7  ...
-enterNumber_Integral
-  File: m_input_mult.v , 41
-  Text: 7  ...
-enterConstant_expression
-  File: m_input_mult.v , 41
-  Text: 6  ...
-enterConstant_primary
-  File: m_input_mult.v , 41
-  Text: 6  ...
-enterPrimary_literal
-  File: m_input_mult.v , 41
-  Text: 6  ...
-enterNumber_Integral
-  File: m_input_mult.v , 41
-  Text: 6  ...
-enterExpression
-  File: m_input_mult.v , 41
-  Text: in1 & select [ 3 ]  ...
-enterExpression
-  File: m_input_mult.v , 41
-  Text: in1  ...
-enterPrimary
-  File: m_input_mult.v , 41
-  Text: in1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 41
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 41
-  Text: in1  ...
-enterBinOp_BitwAnd
-  File: m_input_mult.v , 41
-  Text: &  ...
-enterExpression
-  File: m_input_mult.v , 41
-  Text: select [ 3 ]  ...
-enterPrimary
-  File: m_input_mult.v , 41
-  Text: select [ 3 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 41
-  Text: select [ 3 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 41
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 41
-  Text: [ 3 ]  ...
-enterBit_select
-  File: m_input_mult.v , 41
-  Text: [ 3 ]  ...
-enterExpression
-  File: m_input_mult.v , 41
-  Text: 3  ...
-enterPrimary
-  File: m_input_mult.v , 41
-  Text: 3  ...
-enterPrimary_literal
-  File: m_input_mult.v , 41
-  Text: 3  ...
-enterNumber_Integral
-  File: m_input_mult.v , 41
-  Text: 3  ...
-enterModule_item
-  File: m_input_mult.v , 42
-  Text: assign out2 [ 9 : 8  ...
-enterNon_port_module_item
-  File: m_input_mult.v , 42
-  Text: assign out2 [ 9 : 8  ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 42
-  Text: assign out2 [ 9 : 8  ...
-enterModule_common_item
-  File: m_input_mult.v , 42
-  Text: assign out2 [ 9 : 8  ...
-enterContinuous_assign
-  File: m_input_mult.v , 42
-  Text: assign out2 [ 9 : 8  ...
-enterList_of_net_assignments
-  File: m_input_mult.v , 42
-  Text: out2 [ 9 : 8 ] = in1 ...
-enterNet_assignment
-  File: m_input_mult.v , 42
-  Text: out2 [ 9 : 8 ] = in1 ...
-enterNet_lvalue
-  File: m_input_mult.v , 42
-  Text: out2 [ 9 : 8 ]  ...
-enterPs_or_hierarchical_identifier
-  File: m_input_mult.v , 42
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 42
-  Text: out2  ...
-enterConstant_select
-  File: m_input_mult.v , 42
-  Text: [ 9 : 8 ]  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 42
-  Text:  ...
-enterConstant_part_select_range
-  File: m_input_mult.v , 42
-  Text: 9 : 8  ...
-enterConstant_range
-  File: m_input_mult.v , 42
-  Text: 9 : 8  ...
-enterConstant_expression
-  File: m_input_mult.v , 42
-  Text: 9  ...
-enterConstant_primary
-  File: m_input_mult.v , 42
-  Text: 9  ...
-enterPrimary_literal
-  File: m_input_mult.v , 42
-  Text: 9  ...
-enterNumber_Integral
-  File: m_input_mult.v , 42
-  Text: 9  ...
-enterConstant_expression
-  File: m_input_mult.v , 42
-  Text: 8  ...
-enterConstant_primary
-  File: m_input_mult.v , 42
-  Text: 8  ...
-enterPrimary_literal
-  File: m_input_mult.v , 42
-  Text: 8  ...
-enterNumber_Integral
-  File: m_input_mult.v , 42
-  Text: 8  ...
-enterExpression
-  File: m_input_mult.v , 42
-  Text: in1 & select [ 4 ]  ...
-enterExpression
-  File: m_input_mult.v , 42
-  Text: in1  ...
-enterPrimary
-  File: m_input_mult.v , 42
-  Text: in1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 42
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 42
-  Text: in1  ...
-enterBinOp_BitwAnd
-  File: m_input_mult.v , 42
-  Text: &  ...
-enterExpression
-  File: m_input_mult.v , 42
-  Text: select [ 4 ]  ...
-enterPrimary
-  File: m_input_mult.v , 42
-  Text: select [ 4 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 42
-  Text: select [ 4 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 42
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 42
-  Text: [ 4 ]  ...
-enterBit_select
-  File: m_input_mult.v , 42
-  Text: [ 4 ]  ...
-enterExpression
-  File: m_input_mult.v , 42
-  Text: 4  ...
-enterPrimary
-  File: m_input_mult.v , 42
-  Text: 4  ...
-enterPrimary_literal
-  File: m_input_mult.v , 42
-  Text: 4  ...
-enterNumber_Integral
-  File: m_input_mult.v , 42
-  Text: 4  ...
-enterModule_item
-  File: m_input_mult.v , 43
-  Text: assign out2 [ 11 : 1 ...
-enterNon_port_module_item
-  File: m_input_mult.v , 43
-  Text: assign out2 [ 11 : 1 ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 43
-  Text: assign out2 [ 11 : 1 ...
-enterModule_common_item
-  File: m_input_mult.v , 43
-  Text: assign out2 [ 11 : 1 ...
-enterContinuous_assign
-  File: m_input_mult.v , 43
-  Text: assign out2 [ 11 : 1 ...
-enterList_of_net_assignments
-  File: m_input_mult.v , 43
-  Text: out2 [ 11 : 10 ] = i ...
-enterNet_assignment
-  File: m_input_mult.v , 43
-  Text: out2 [ 11 : 10 ] = i ...
-enterNet_lvalue
-  File: m_input_mult.v , 43
-  Text: out2 [ 11 : 10 ]  ...
-enterPs_or_hierarchical_identifier
-  File: m_input_mult.v , 43
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 43
-  Text: out2  ...
-enterConstant_select
-  File: m_input_mult.v , 43
-  Text: [ 11 : 10 ]  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 43
-  Text:  ...
-enterConstant_part_select_range
-  File: m_input_mult.v , 43
-  Text: 11 : 10  ...
-enterConstant_range
-  File: m_input_mult.v , 43
-  Text: 11 : 10  ...
-enterConstant_expression
-  File: m_input_mult.v , 43
-  Text: 11  ...
-enterConstant_primary
-  File: m_input_mult.v , 43
-  Text: 11  ...
-enterPrimary_literal
-  File: m_input_mult.v , 43
-  Text: 11  ...
-enterNumber_Integral
-  File: m_input_mult.v , 43
-  Text: 11  ...
-enterConstant_expression
-  File: m_input_mult.v , 43
-  Text: 10  ...
-enterConstant_primary
-  File: m_input_mult.v , 43
-  Text: 10  ...
-enterPrimary_literal
-  File: m_input_mult.v , 43
-  Text: 10  ...
-enterNumber_Integral
-  File: m_input_mult.v , 43
-  Text: 10  ...
-enterExpression
-  File: m_input_mult.v , 43
-  Text: in1 & select [ 5 ]  ...
-enterExpression
-  File: m_input_mult.v , 43
-  Text: in1  ...
-enterPrimary
-  File: m_input_mult.v , 43
-  Text: in1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 43
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 43
-  Text: in1  ...
-enterBinOp_BitwAnd
-  File: m_input_mult.v , 43
-  Text: &  ...
-enterExpression
-  File: m_input_mult.v , 43
-  Text: select [ 5 ]  ...
-enterPrimary
-  File: m_input_mult.v , 43
-  Text: select [ 5 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 43
-  Text: select [ 5 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 43
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 43
-  Text: [ 5 ]  ...
-enterBit_select
-  File: m_input_mult.v , 43
-  Text: [ 5 ]  ...
-enterExpression
-  File: m_input_mult.v , 43
-  Text: 5  ...
-enterPrimary
-  File: m_input_mult.v , 43
-  Text: 5  ...
-enterPrimary_literal
-  File: m_input_mult.v , 43
-  Text: 5  ...
-enterNumber_Integral
-  File: m_input_mult.v , 43
-  Text: 5  ...
-enterModule_item
-  File: m_input_mult.v , 44
-  Text: assign out2 [ 13 : 1 ...
-enterNon_port_module_item
-  File: m_input_mult.v , 44
-  Text: assign out2 [ 13 : 1 ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 44
-  Text: assign out2 [ 13 : 1 ...
-enterModule_common_item
-  File: m_input_mult.v , 44
-  Text: assign out2 [ 13 : 1 ...
-enterContinuous_assign
-  File: m_input_mult.v , 44
-  Text: assign out2 [ 13 : 1 ...
-enterList_of_net_assignments
-  File: m_input_mult.v , 44
-  Text: out2 [ 13 : 12 ] = i ...
-enterNet_assignment
-  File: m_input_mult.v , 44
-  Text: out2 [ 13 : 12 ] = i ...
-enterNet_lvalue
-  File: m_input_mult.v , 44
-  Text: out2 [ 13 : 12 ]  ...
-enterPs_or_hierarchical_identifier
-  File: m_input_mult.v , 44
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 44
-  Text: out2  ...
-enterConstant_select
-  File: m_input_mult.v , 44
-  Text: [ 13 : 12 ]  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 44
-  Text:  ...
-enterConstant_part_select_range
-  File: m_input_mult.v , 44
-  Text: 13 : 12  ...
-enterConstant_range
-  File: m_input_mult.v , 44
-  Text: 13 : 12  ...
-enterConstant_expression
-  File: m_input_mult.v , 44
-  Text: 13  ...
-enterConstant_primary
-  File: m_input_mult.v , 44
-  Text: 13  ...
-enterPrimary_literal
-  File: m_input_mult.v , 44
-  Text: 13  ...
-enterNumber_Integral
-  File: m_input_mult.v , 44
-  Text: 13  ...
-enterConstant_expression
-  File: m_input_mult.v , 44
-  Text: 12  ...
-enterConstant_primary
-  File: m_input_mult.v , 44
-  Text: 12  ...
-enterPrimary_literal
-  File: m_input_mult.v , 44
-  Text: 12  ...
-enterNumber_Integral
-  File: m_input_mult.v , 44
-  Text: 12  ...
-enterExpression
-  File: m_input_mult.v , 44
-  Text: in1 & select [ 6 ]  ...
-enterExpression
-  File: m_input_mult.v , 44
-  Text: in1  ...
-enterPrimary
-  File: m_input_mult.v , 44
-  Text: in1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 44
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 44
-  Text: in1  ...
-enterBinOp_BitwAnd
-  File: m_input_mult.v , 44
-  Text: &  ...
-enterExpression
-  File: m_input_mult.v , 44
-  Text: select [ 6 ]  ...
-enterPrimary
-  File: m_input_mult.v , 44
-  Text: select [ 6 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 44
-  Text: select [ 6 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 44
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 44
-  Text: [ 6 ]  ...
-enterBit_select
-  File: m_input_mult.v , 44
-  Text: [ 6 ]  ...
-enterExpression
-  File: m_input_mult.v , 44
-  Text: 6  ...
-enterPrimary
-  File: m_input_mult.v , 44
-  Text: 6  ...
-enterPrimary_literal
-  File: m_input_mult.v , 44
-  Text: 6  ...
-enterNumber_Integral
-  File: m_input_mult.v , 44
-  Text: 6  ...
-enterModule_item
-  File: m_input_mult.v , 45
-  Text: assign out2 [ 15 : 1 ...
-enterNon_port_module_item
-  File: m_input_mult.v , 45
-  Text: assign out2 [ 15 : 1 ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 45
-  Text: assign out2 [ 15 : 1 ...
-enterModule_common_item
-  File: m_input_mult.v , 45
-  Text: assign out2 [ 15 : 1 ...
-enterContinuous_assign
-  File: m_input_mult.v , 45
-  Text: assign out2 [ 15 : 1 ...
-enterList_of_net_assignments
-  File: m_input_mult.v , 45
-  Text: out2 [ 15 : 14 ] = i ...
-enterNet_assignment
-  File: m_input_mult.v , 45
-  Text: out2 [ 15 : 14 ] = i ...
-enterNet_lvalue
-  File: m_input_mult.v , 45
-  Text: out2 [ 15 : 14 ]  ...
-enterPs_or_hierarchical_identifier
-  File: m_input_mult.v , 45
-  Text: out2  ...
-enterIdentifier
-  File: m_input_mult.v , 45
-  Text: out2  ...
-enterConstant_select
-  File: m_input_mult.v , 45
-  Text: [ 15 : 14 ]  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 45
-  Text:  ...
-enterConstant_part_select_range
-  File: m_input_mult.v , 45
-  Text: 15 : 14  ...
-enterConstant_range
-  File: m_input_mult.v , 45
-  Text: 15 : 14  ...
-enterConstant_expression
-  File: m_input_mult.v , 45
-  Text: 15  ...
-enterConstant_primary
-  File: m_input_mult.v , 45
-  Text: 15  ...
-enterPrimary_literal
-  File: m_input_mult.v , 45
-  Text: 15  ...
-enterNumber_Integral
-  File: m_input_mult.v , 45
-  Text: 15  ...
-enterConstant_expression
-  File: m_input_mult.v , 45
-  Text: 14  ...
-enterConstant_primary
-  File: m_input_mult.v , 45
-  Text: 14  ...
-enterPrimary_literal
-  File: m_input_mult.v , 45
-  Text: 14  ...
-enterNumber_Integral
-  File: m_input_mult.v , 45
-  Text: 14  ...
-enterExpression
-  File: m_input_mult.v , 45
-  Text: in1 & select [ 7 ]  ...
-enterExpression
-  File: m_input_mult.v , 45
-  Text: in1  ...
-enterPrimary
-  File: m_input_mult.v , 45
-  Text: in1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 45
-  Text: in1  ...
-enterIdentifier
-  File: m_input_mult.v , 45
-  Text: in1  ...
-enterBinOp_BitwAnd
-  File: m_input_mult.v , 45
-  Text: &  ...
-enterExpression
-  File: m_input_mult.v , 45
-  Text: select [ 7 ]  ...
-enterPrimary
-  File: m_input_mult.v , 45
-  Text: select [ 7 ]  ...
-enterComplex_func_call
-  File: m_input_mult.v , 45
-  Text: select [ 7 ]  ...
-enterIdentifier
-  File: m_input_mult.v , 45
-  Text: select  ...
-enterSelect
-  File: m_input_mult.v , 45
-  Text: [ 7 ]  ...
-enterBit_select
-  File: m_input_mult.v , 45
-  Text: [ 7 ]  ...
-enterExpression
-  File: m_input_mult.v , 45
-  Text: 7  ...
-enterPrimary
-  File: m_input_mult.v , 45
-  Text: 7  ...
-enterPrimary_literal
-  File: m_input_mult.v , 45
-  Text: 7  ...
-enterNumber_Integral
-  File: m_input_mult.v , 45
-  Text: 7  ...
-enterEndmodule
-  File: m_input_mult.v , 46
-  Text: endmodule  ...
-enterDescription
-  File: m_input_mult.v , 49
-  Text: module pri_encooder  ...
-enterModule_declaration
-  File: m_input_mult.v , 49
-  Text: module pri_encooder  ...
-enterModule_nonansi_header
-  File: m_input_mult.v , 49
-  Text: module pri_encooder  ...
-enterModule_keyword
-  File: m_input_mult.v , 49
-  Text: module  ...
-enterIdentifier
-  File: m_input_mult.v , 49
-  Text: pri_encooder  ...
-enterList_of_ports
-  File: m_input_mult.v , 49
-  Text: ( Op , Funct , Sel , ...
-enterPort
-  File: m_input_mult.v , 49
-  Text: Op  ...
-enterPort_expression
-  File: m_input_mult.v , 49
-  Text: Op  ...
-enterPort_reference
-  File: m_input_mult.v , 49
-  Text: Op  ...
-enterIdentifier
-  File: m_input_mult.v , 49
-  Text: Op  ...
-enterConstant_select
-  File: m_input_mult.v , 49
-  Text:  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 49
-  Text:  ...
-enterPort
-  File: m_input_mult.v , 49
-  Text: Funct  ...
-enterPort_expression
-  File: m_input_mult.v , 49
-  Text: Funct  ...
-enterPort_reference
-  File: m_input_mult.v , 49
-  Text: Funct  ...
-enterIdentifier
-  File: m_input_mult.v , 49
-  Text: Funct  ...
-enterConstant_select
-  File: m_input_mult.v , 49
-  Text:  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 49
-  Text:  ...
-enterPort
-  File: m_input_mult.v , 49
-  Text: Sel  ...
-enterPort_expression
-  File: m_input_mult.v , 49
-  Text: Sel  ...
-enterPort_reference
-  File: m_input_mult.v , 49
-  Text: Sel  ...
-enterIdentifier
-  File: m_input_mult.v , 49
-  Text: Sel  ...
-enterConstant_select
-  File: m_input_mult.v , 49
-  Text:  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 49
-  Text:  ...
-enterPort
-  File: m_input_mult.v , 49
-  Text: B  ...
-enterPort_expression
-  File: m_input_mult.v , 49
-  Text: B  ...
-enterPort_reference
-  File: m_input_mult.v , 49
-  Text: B  ...
-enterIdentifier
-  File: m_input_mult.v , 49
-  Text: B  ...
-enterConstant_select
-  File: m_input_mult.v , 49
-  Text:  ...
-enterConstant_bit_select
-  File: m_input_mult.v , 49
-  Text:  ...
-enterModule_item
-  File: m_input_mult.v , 50
-  Text: input [ 1 : 0 ] Op ; ...
-enterPort_declaration
-  File: m_input_mult.v , 50
-  Text: input [ 1 : 0 ] Op  ...
-enterInput_declaration
-  File: m_input_mult.v , 50
-  Text: input [ 1 : 0 ] Op  ...
-enterNet_port_type
-  File: m_input_mult.v , 50
-  Text: [ 1 : 0 ]  ...
-enterData_type_or_implicit
-  File: m_input_mult.v , 50
-  Text: [ 1 : 0 ]  ...
-enterPacked_dimension
-  File: m_input_mult.v , 50
-  Text: [ 1 : 0 ]  ...
-enterConstant_range
-  File: m_input_mult.v , 50
-  Text: 1 : 0  ...
-enterConstant_expression
-  File: m_input_mult.v , 50
-  Text: 1  ...
-enterConstant_primary
-  File: m_input_mult.v , 50
-  Text: 1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 50
-  Text: 1  ...
-enterNumber_Integral
-  File: m_input_mult.v , 50
-  Text: 1  ...
-enterConstant_expression
-  File: m_input_mult.v , 50
-  Text: 0  ...
-enterConstant_primary
-  File: m_input_mult.v , 50
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 50
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 50
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: m_input_mult.v , 50
-  Text: Op  ...
-enterIdentifier
-  File: m_input_mult.v , 50
-  Text: Op  ...
-enterModule_item
-  File: m_input_mult.v , 51
-  Text: input [ 4 : 0 ] Func ...
-enterPort_declaration
-  File: m_input_mult.v , 51
-  Text: input [ 4 : 0 ] Func ...
-enterInput_declaration
-  File: m_input_mult.v , 51
-  Text: input [ 4 : 0 ] Func ...
-enterNet_port_type
-  File: m_input_mult.v , 51
-  Text: [ 4 : 0 ]  ...
-enterData_type_or_implicit
-  File: m_input_mult.v , 51
-  Text: [ 4 : 0 ]  ...
-enterPacked_dimension
-  File: m_input_mult.v , 51
-  Text: [ 4 : 0 ]  ...
-enterConstant_range
-  File: m_input_mult.v , 51
-  Text: 4 : 0  ...
-enterConstant_expression
-  File: m_input_mult.v , 51
-  Text: 4  ...
-enterConstant_primary
-  File: m_input_mult.v , 51
-  Text: 4  ...
-enterPrimary_literal
-  File: m_input_mult.v , 51
-  Text: 4  ...
-enterNumber_Integral
-  File: m_input_mult.v , 51
-  Text: 4  ...
-enterConstant_expression
-  File: m_input_mult.v , 51
-  Text: 0  ...
-enterConstant_primary
-  File: m_input_mult.v , 51
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 51
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 51
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: m_input_mult.v , 51
-  Text: Funct  ...
-enterIdentifier
-  File: m_input_mult.v , 51
-  Text: Funct  ...
-enterModule_item
-  File: m_input_mult.v , 52
-  Text: output [ 1 : 0 ] Sel ...
-enterPort_declaration
-  File: m_input_mult.v , 52
-  Text: output [ 1 : 0 ] Sel ...
-enterOutput_declaration
-  File: m_input_mult.v , 52
-  Text: output [ 1 : 0 ] Sel ...
-enterNet_port_type
-  File: m_input_mult.v , 52
-  Text: [ 1 : 0 ]  ...
-enterData_type_or_implicit
-  File: m_input_mult.v , 52
-  Text: [ 1 : 0 ]  ...
-enterPacked_dimension
-  File: m_input_mult.v , 52
-  Text: [ 1 : 0 ]  ...
-enterConstant_range
-  File: m_input_mult.v , 52
-  Text: 1 : 0  ...
-enterConstant_expression
-  File: m_input_mult.v , 52
-  Text: 1  ...
-enterConstant_primary
-  File: m_input_mult.v , 52
-  Text: 1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 52
-  Text: 1  ...
-enterNumber_Integral
-  File: m_input_mult.v , 52
-  Text: 1  ...
-enterConstant_expression
-  File: m_input_mult.v , 52
-  Text: 0  ...
-enterConstant_primary
-  File: m_input_mult.v , 52
-  Text: 0  ...
-enterPrimary_literal
-  File: m_input_mult.v , 52
-  Text: 0  ...
-enterNumber_Integral
-  File: m_input_mult.v , 52
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: m_input_mult.v , 52
-  Text: Sel  ...
-enterIdentifier
-  File: m_input_mult.v , 52
-  Text: Sel  ...
-enterModule_item
-  File: m_input_mult.v , 53
-  Text: output B ;  ...
-enterPort_declaration
-  File: m_input_mult.v , 53
-  Text: output B  ...
-enterOutput_declaration
-  File: m_input_mult.v , 53
-  Text: output B  ...
-enterNet_port_type
-  File: m_input_mult.v , 53
-  Text:  ...
-enterData_type_or_implicit
-  File: m_input_mult.v , 53
-  Text:  ...
-enterList_of_port_identifiers
-  File: m_input_mult.v , 53
-  Text: B  ...
-enterIdentifier
-  File: m_input_mult.v , 53
-  Text: B  ...
-enterModule_item
-  File: m_input_mult.v , 54
-  Text: always @ ( Op or Fun ...
-enterNon_port_module_item
-  File: m_input_mult.v , 54
-  Text: always @ ( Op or Fun ...
-enterModule_or_generate_item
-  File: m_input_mult.v , 54
-  Text: always @ ( Op or Fun ...
-enterModule_common_item
-  File: m_input_mult.v , 54
-  Text: always @ ( Op or Fun ...
-enterAlways_construct
-  File: m_input_mult.v , 54
-  Text: always @ ( Op or Fun ...
-enterAlwaysKeywd_Always
-  File: m_input_mult.v , 54
-  Text: always  ...
-enterStatement
-  File: m_input_mult.v , 54
-  Text: @ ( Op or Funct ) ca ...
-enterStatement_item
-  File: m_input_mult.v , 54
-  Text: @ ( Op or Funct ) ca ...
-enterProcedural_timing_control_statement
-  File: m_input_mult.v , 54
-  Text: @ ( Op or Funct ) ca ...
-enterProcedural_timing_control
-  File: m_input_mult.v , 54
-  Text: @ ( Op or Funct )  ...
-enterEvent_control
-  File: m_input_mult.v , 54
-  Text: @ ( Op or Funct )  ...
-enterEvent_expression
-  File: m_input_mult.v , 54
-  Text: Op or Funct  ...
-enterEvent_expression
-  File: m_input_mult.v , 54
-  Text: Op  ...
-enterExpression
-  File: m_input_mult.v , 54
-  Text: Op  ...
-enterPrimary
-  File: m_input_mult.v , 54
-  Text: Op  ...
-enterPrimary_literal
-  File: m_input_mult.v , 54
-  Text: Op  ...
-enterIdentifier
-  File: m_input_mult.v , 54
-  Text: Op  ...
-enterEvent_expression
-  File: m_input_mult.v , 54
-  Text: Funct  ...
-enterExpression
-  File: m_input_mult.v , 54
-  Text: Funct  ...
-enterPrimary
-  File: m_input_mult.v , 54
-  Text: Funct  ...
-enterPrimary_literal
-  File: m_input_mult.v , 54
-  Text: Funct  ...
-enterIdentifier
-  File: m_input_mult.v , 54
-  Text: Funct  ...
-enterStatement_or_null
-  File: m_input_mult.v , 55
-  Text: casex ( { Op , Funct ...
-enterStatement
-  File: m_input_mult.v , 55
-  Text: casex ( { Op , Funct ...
-enterStatement_item
-  File: m_input_mult.v , 55
-  Text: casex ( { Op , Funct ...
-enterCase_statement
-  File: m_input_mult.v , 55
-  Text: casex ( { Op , Funct ...
-enterCaseKeyword_CaseX
-  File: m_input_mult.v , 55
-  Text: casex  ...
-enterExpression
-  File: m_input_mult.v , 55
-  Text: { Op , Funct }  ...
-enterPrimary
-  File: m_input_mult.v , 55
-  Text: { Op , Funct }  ...
-enterConcatenation
-  File: m_input_mult.v , 55
-  Text: { Op , Funct }  ...
-enterExpression
-  File: m_input_mult.v , 55
-  Text: Op  ...
-enterPrimary
-  File: m_input_mult.v , 55
-  Text: Op  ...
-enterPrimary_literal
-  File: m_input_mult.v , 55
-  Text: Op  ...
-enterIdentifier
-  File: m_input_mult.v , 55
-  Text: Op  ...
-enterExpression
-  File: m_input_mult.v , 55
-  Text: Funct  ...
-enterPrimary
-  File: m_input_mult.v , 55
-  Text: Funct  ...
-enterPrimary_literal
-  File: m_input_mult.v , 55
-  Text: Funct  ...
-enterIdentifier
-  File: m_input_mult.v , 55
-  Text: Funct  ...
-enterCase_item
-  File: m_input_mult.v , 56
-  Text: { 2'b01 , 5'bx } : b ...
-enterExpression
-  File: m_input_mult.v , 56
-  Text: { 2'b01 , 5'bx }  ...
-enterPrimary
-  File: m_input_mult.v , 56
-  Text: { 2'b01 , 5'bx }  ...
-enterConcatenation
-  File: m_input_mult.v , 56
-  Text: { 2'b01 , 5'bx }  ...
-enterExpression
-  File: m_input_mult.v , 56
-  Text: 2'b01  ...
-enterPrimary
-  File: m_input_mult.v , 56
-  Text: 2'b01  ...
-enterPrimary_literal
-  File: m_input_mult.v , 56
-  Text: 2'b01  ...
-enterNumber_Integral
-  File: m_input_mult.v , 56
-  Text: 2'b01  ...
-enterExpression
-  File: m_input_mult.v , 56
-  Text: 5'bx  ...
-enterPrimary
-  File: m_input_mult.v , 56
-  Text: 5'bx  ...
-enterPrimary_literal
-  File: m_input_mult.v , 56
-  Text: 5'bx  ...
-enterNumber_Integral
-  File: m_input_mult.v , 56
-  Text: 5'bx  ...
-enterStatement_or_null
-  File: m_input_mult.v , 56
-  Text: begin Sel = 2'b11 ;  ...
-enterStatement
-  File: m_input_mult.v , 56
-  Text: begin Sel = 2'b11 ;  ...
-enterStatement_item
-  File: m_input_mult.v , 56
-  Text: begin Sel = 2'b11 ;  ...
-enterSeq_block
-  File: m_input_mult.v , 56
-  Text: begin Sel = 2'b11 ;  ...
-enterStatement_or_null
-  File: m_input_mult.v , 57
-  Text: Sel = 2'b11 ;  ...
-enterStatement
-  File: m_input_mult.v , 57
-  Text: Sel = 2'b11 ;  ...
-enterStatement_item
-  File: m_input_mult.v , 57
-  Text: Sel = 2'b11 ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 57
-  Text: Sel = 2'b11  ...
-enterOperator_assignment
-  File: m_input_mult.v , 57
-  Text: Sel = 2'b11  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 57
-  Text: Sel  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 57
-  Text: Sel  ...
-enterSelect
-  File: m_input_mult.v , 57
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 57
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 57
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 57
-  Text: 2'b11  ...
-enterPrimary
-  File: m_input_mult.v , 57
-  Text: 2'b11  ...
-enterPrimary_literal
-  File: m_input_mult.v , 57
-  Text: 2'b11  ...
-enterNumber_Integral
-  File: m_input_mult.v , 57
-  Text: 2'b11  ...
-enterStatement_or_null
-  File: m_input_mult.v , 58
-  Text: B = 1'b1 ;  ...
-enterStatement
-  File: m_input_mult.v , 58
-  Text: B = 1'b1 ;  ...
-enterStatement_item
-  File: m_input_mult.v , 58
-  Text: B = 1'b1 ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 58
-  Text: B = 1'b1  ...
-enterOperator_assignment
-  File: m_input_mult.v , 58
-  Text: B = 1'b1  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 58
-  Text: B  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 58
-  Text: B  ...
-enterSelect
-  File: m_input_mult.v , 58
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 58
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 58
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 58
-  Text: 1'b1  ...
-enterPrimary
-  File: m_input_mult.v , 58
-  Text: 1'b1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 58
-  Text: 1'b1  ...
-enterNumber_1Tickb1
-  File: m_input_mult.v , 58
-  Text: 1'b1  ...
-enterEnd
-  File: m_input_mult.v , 59
-  Text: end  ...
-enterCase_item
-  File: m_input_mult.v , 60
-  Text: { 2'b11 , 5'b00011 } ...
-enterExpression
-  File: m_input_mult.v , 60
-  Text: { 2'b11 , 5'b00011 } ...
-enterPrimary
-  File: m_input_mult.v , 60
-  Text: { 2'b11 , 5'b00011 } ...
-enterConcatenation
-  File: m_input_mult.v , 60
-  Text: { 2'b11 , 5'b00011 } ...
-enterExpression
-  File: m_input_mult.v , 60
-  Text: 2'b11  ...
-enterPrimary
-  File: m_input_mult.v , 60
-  Text: 2'b11  ...
-enterPrimary_literal
-  File: m_input_mult.v , 60
-  Text: 2'b11  ...
-enterNumber_Integral
-  File: m_input_mult.v , 60
-  Text: 2'b11  ...
-enterExpression
-  File: m_input_mult.v , 60
-  Text: 5'b00011  ...
-enterPrimary
-  File: m_input_mult.v , 60
-  Text: 5'b00011  ...
-enterPrimary_literal
-  File: m_input_mult.v , 60
-  Text: 5'b00011  ...
-enterNumber_Integral
-  File: m_input_mult.v , 60
-  Text: 5'b00011  ...
-enterStatement_or_null
-  File: m_input_mult.v , 60
-  Text: begin Sel = 2'b01 ;  ...
-enterStatement
-  File: m_input_mult.v , 60
-  Text: begin Sel = 2'b01 ;  ...
-enterStatement_item
-  File: m_input_mult.v , 60
-  Text: begin Sel = 2'b01 ;  ...
-enterSeq_block
-  File: m_input_mult.v , 60
-  Text: begin Sel = 2'b01 ;  ...
-enterStatement_or_null
-  File: m_input_mult.v , 61
-  Text: Sel = 2'b01 ;  ...
-enterStatement
-  File: m_input_mult.v , 61
-  Text: Sel = 2'b01 ;  ...
-enterStatement_item
-  File: m_input_mult.v , 61
-  Text: Sel = 2'b01 ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 61
-  Text: Sel = 2'b01  ...
-enterOperator_assignment
-  File: m_input_mult.v , 61
-  Text: Sel = 2'b01  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 61
-  Text: Sel  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 61
-  Text: Sel  ...
-enterSelect
-  File: m_input_mult.v , 61
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 61
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 61
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 61
-  Text: 2'b01  ...
-enterPrimary
-  File: m_input_mult.v , 61
-  Text: 2'b01  ...
-enterPrimary_literal
-  File: m_input_mult.v , 61
-  Text: 2'b01  ...
-enterNumber_Integral
-  File: m_input_mult.v , 61
-  Text: 2'b01  ...
-enterStatement_or_null
-  File: m_input_mult.v , 62
-  Text: B = 1'b1 ;  ...
-enterStatement
-  File: m_input_mult.v , 62
-  Text: B = 1'b1 ;  ...
-enterStatement_item
-  File: m_input_mult.v , 62
-  Text: B = 1'b1 ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 62
-  Text: B = 1'b1  ...
-enterOperator_assignment
-  File: m_input_mult.v , 62
-  Text: B = 1'b1  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 62
-  Text: B  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 62
-  Text: B  ...
-enterSelect
-  File: m_input_mult.v , 62
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 62
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 62
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 62
-  Text: 1'b1  ...
-enterPrimary
-  File: m_input_mult.v , 62
-  Text: 1'b1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 62
-  Text: 1'b1  ...
-enterNumber_1Tickb1
-  File: m_input_mult.v , 62
-  Text: 1'b1  ...
-enterEnd
-  File: m_input_mult.v , 63
-  Text: end  ...
-enterCase_item
-  File: m_input_mult.v , 64
-  Text: { 2'b11 , 5'b00001 } ...
-enterExpression
-  File: m_input_mult.v , 64
-  Text: { 2'b11 , 5'b00001 } ...
-enterPrimary
-  File: m_input_mult.v , 64
-  Text: { 2'b11 , 5'b00001 } ...
-enterConcatenation
-  File: m_input_mult.v , 64
-  Text: { 2'b11 , 5'b00001 } ...
-enterExpression
-  File: m_input_mult.v , 64
-  Text: 2'b11  ...
-enterPrimary
-  File: m_input_mult.v , 64
-  Text: 2'b11  ...
-enterPrimary_literal
-  File: m_input_mult.v , 64
-  Text: 2'b11  ...
-enterNumber_Integral
-  File: m_input_mult.v , 64
-  Text: 2'b11  ...
-enterExpression
-  File: m_input_mult.v , 64
-  Text: 5'b00001  ...
-enterPrimary
-  File: m_input_mult.v , 64
-  Text: 5'b00001  ...
-enterPrimary_literal
-  File: m_input_mult.v , 64
-  Text: 5'b00001  ...
-enterNumber_Integral
-  File: m_input_mult.v , 64
-  Text: 5'b00001  ...
-enterStatement_or_null
-  File: m_input_mult.v , 64
-  Text: begin Sel = 2'b10 ;  ...
-enterStatement
-  File: m_input_mult.v , 64
-  Text: begin Sel = 2'b10 ;  ...
-enterStatement_item
-  File: m_input_mult.v , 64
-  Text: begin Sel = 2'b10 ;  ...
-enterSeq_block
-  File: m_input_mult.v , 64
-  Text: begin Sel = 2'b10 ;  ...
-enterStatement_or_null
-  File: m_input_mult.v , 65
-  Text: Sel = 2'b10 ;  ...
-enterStatement
-  File: m_input_mult.v , 65
-  Text: Sel = 2'b10 ;  ...
-enterStatement_item
-  File: m_input_mult.v , 65
-  Text: Sel = 2'b10 ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 65
-  Text: Sel = 2'b10  ...
-enterOperator_assignment
-  File: m_input_mult.v , 65
-  Text: Sel = 2'b10  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 65
-  Text: Sel  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 65
-  Text: Sel  ...
-enterSelect
-  File: m_input_mult.v , 65
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 65
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 65
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 65
-  Text: 2'b10  ...
-enterPrimary
-  File: m_input_mult.v , 65
-  Text: 2'b10  ...
-enterPrimary_literal
-  File: m_input_mult.v , 65
-  Text: 2'b10  ...
-enterNumber_Integral
-  File: m_input_mult.v , 65
-  Text: 2'b10  ...
-enterStatement_or_null
-  File: m_input_mult.v , 66
-  Text: B = 1'b1 ;  ...
-enterStatement
-  File: m_input_mult.v , 66
-  Text: B = 1'b1 ;  ...
-enterStatement_item
-  File: m_input_mult.v , 66
-  Text: B = 1'b1 ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 66
-  Text: B = 1'b1  ...
-enterOperator_assignment
-  File: m_input_mult.v , 66
-  Text: B = 1'b1  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 66
-  Text: B  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 66
-  Text: B  ...
-enterSelect
-  File: m_input_mult.v , 66
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 66
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 66
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 66
-  Text: 1'b1  ...
-enterPrimary
-  File: m_input_mult.v , 66
-  Text: 1'b1  ...
-enterPrimary_literal
-  File: m_input_mult.v , 66
-  Text: 1'b1  ...
-enterNumber_1Tickb1
-  File: m_input_mult.v , 66
-  Text: 1'b1  ...
-enterEnd
-  File: m_input_mult.v , 67
-  Text: end  ...
-enterCase_item
-  File: m_input_mult.v , 68
-  Text: default : begin Sel  ...
-enterStatement_or_null
-  File: m_input_mult.v , 68
-  Text: begin Sel = 2'bxx ;  ...
-enterStatement
-  File: m_input_mult.v , 68
-  Text: begin Sel = 2'bxx ;  ...
-enterStatement_item
-  File: m_input_mult.v , 68
-  Text: begin Sel = 2'bxx ;  ...
-enterSeq_block
-  File: m_input_mult.v , 68
-  Text: begin Sel = 2'bxx ;  ...
-enterStatement_or_null
-  File: m_input_mult.v , 69
-  Text: Sel = 2'bxx ;  ...
-enterStatement
-  File: m_input_mult.v , 69
-  Text: Sel = 2'bxx ;  ...
-enterStatement_item[INFO :PY0400] Processing source file "mux21.v".
-
-
-  File: m_input_mult.v , 69
-  Text: Sel = 2'bxx ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 69
-  Text: Sel = 2'bxx  ...
-enterOperator_assignment
-  File: m_input_mult.v , 69
-  Text: Sel = 2'bxx  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 69
-  Text: Sel  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 69
-  Text: Sel  ...
-enterSelect
-  File: m_input_mult.v , 69
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 69
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 69
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 69
-  Text: 2'bxx  ...
-enterPrimary
-  File: m_input_mult.v , 69
-  Text: 2'bxx  ...
-enterPrimary_literal
-  File: m_input_mult.v , 69
-  Text: 2'bxx  ...
-enterNumber_Integral
-  File: m_input_mult.v , 69
-  Text: 2'bxx  ...
-enterStatement_or_null
-  File: m_input_mult.v , 70
-  Text: B = 1'bx ;  ...
-enterStatement
-  File: m_input_mult.v , 70
-  Text: B = 1'bx ;  ...
-enterStatement_item
-  File: m_input_mult.v , 70
-  Text: B = 1'bx ;  ...
-enterBlocking_assignment
-  File: m_input_mult.v , 70
-  Text: B = 1'bx  ...
-enterOperator_assignment
-  File: m_input_mult.v , 70
-  Text: B = 1'bx  ...
-enterVariable_lvalue
-  File: m_input_mult.v , 70
-  Text: B  ...
-enterHierarchical_identifier
-  File: m_input_mult.v , 70
-  Text: B  ...
-enterSelect
-  File: m_input_mult.v , 70
-  Text:  ...
-enterBit_select
-  File: m_input_mult.v , 70
-  Text:  ...
-enterAssignOp_Assign
-  File: m_input_mult.v , 70
-  Text: =  ...
-enterExpression
-  File: m_input_mult.v , 70
-  Text: 1'bx  ...
-enterPrimary
-  File: m_input_mult.v , 70
-  Text: 1'bx  ...
-enterPrimary_literal
-  File: m_input_mult.v , 70
-  Text: 1'bx  ...
-enterNumber_1Tickbx
-  File: m_input_mult.v , 70
-  Text: 1'bx  ...
-enterEnd
-  File: m_input_mult.v , 71
-  Text: end  ...
-enterEndcase
-  File: m_input_mult.v , 72
-  Text: endcase  ...
-enterEndmodule
-  File: m_input_mult.v , 73
-  Text: endmodule  ...
-enterTop_level_rule
-  File: mux21.v , 7
-  Text: module mux21_switch  ...
-enterNull_rule
-  File: mux21.v , 7
-  Text:  ...
-enterSource_text
-  File: mux21.v , 7
-  Text: module mux21_switch  ...
-enterDescription
-  File: mux21.v , 7
-  Text: module mux21_switch  ...
-enterModule_declaration
-  File: mux21.v , 7
-  Text: module mux21_switch  ...
-enterModule_nonansi_header
-  File: mux21.v , 7
-  Text: module mux21_switch  ...
-enterModule_keyword
-  File: mux21.v , 7
-  Text: module  ...
-enterIdentifier
-  File: mux21.v , 7
-  Text: mux21_switch  ...
-enterList_of_ports
-  File: mux21.v , 7
-  Text: ( out , ctrl , in1 , ...
-enterPort
-  File: mux21.v , 7
-  Text: out  ...
-enterPort_expression
-  File: mux21.v , 7
-  Text: out  ...
-enterPort_reference
-  File: mux21.v , 7
-  Text: out  ...
-enterIdentifier
-  File: mux21.v , 7
-  Text: out  ...
-enterConstant_select
-  File: mux21.v , 7
-  Text:  ...
-enterConstant_bit_select
-  File: mux21.v , 7
-  Text:  ...
-enterPort
-  File: mux21.v , 7
-  Text: ctrl  ...
-enterPort_expression
-  File: mux21.v , 7
-  Text: ctrl  ...
-enterPort_reference
-  File: mux21.v , 7
-  Text: ctrl  ...
-enterIdentifier
-  File: mux21.v , 7
-  Text: ctrl  ...
-enterConstant_select
-  File: mux21.v , 7
-  Text:  ...
-enterConstant_bit_select
-  File: mux21.v , 7
-  Text:  ...
-enterPort
-  File: mux21.v , 7
-  Text: in1  ...
-enterPort_expression
-  File: mux21.v , 7
-  Text: in1  ...
-enterPort_reference
-  File: mux21.v , 7
-  Text: in1  ...
-enterIdentifier
-  File: mux21.v , 7
-  Text: in1  ...
-enterConstant_select
-  File: mux21.v , 7
-  Text:  ...
-enterConstant_bit_select
-  File: mux21.v , 7
-  Text:  ...
-enterPort
-  File: mux21.v , 7
-  Text: in2  ...
-enterPort_expression
-  File: mux21.v , 7
-  Text: in2  ...
-enterPort_reference
-  File: mux21.v , 7
-  Text: in2  ...
-enterIdentifier
-  File: mux21.v , 7
-  Text: in2  ...
-enterConstant_select
-  File: mux21.v , 7
-  Text:  ...
-enterConstant_bit_select
-  File: mux21.v , 7
-  Text:  ...
-enterModule_item
-  File: mux21.v , 9
-  Text: output out ;  ...
-enterPort_declaration
-  File: mux21.v , 9
-  Text: output out  ...
-enterOutput_declaration
-  File: mux21.v , 9
-  Text: output out  ...
-enterNet_port_type
-  File: mux21.v , 9
-  Text:  ...
-enterData_type_or_implicit
-  File: mux21.v , 9
-  Text:  ...
-enterList_of_port_identifiers
-  File: mux21.v , 9
-  Text: out  ...
-enterIdentifier
-  File: mux21.v , 9
-  Text: out  ...
-enterModule_item
-  File: mux21.v , 10
-  Text: input ctrl , in1 , i ...
-enterPort_declaration
-  File: mux21.v , 10
-  Text: input ctrl , in1 , i ...
-enterInput_declaration
-  File: mux21.v , 10
-  Text: input ctrl , in1 , i ...
-enterNet_port_type
-  File: mux21.v , 10
-  Text:  ...
-enterData_type_or_implicit
-  File: mux21.v , 10
-  Text:  ...
-enterList_of_port_identifiers
-  File: mux21.v , 10
-  Text: ctrl , in1 , in2  ...
-enterIdentifier
-  File: mux21.v , 10
-  Text: ctrl  ...
-enterIdentifier
-  File: mux21.v , 10
-  Text: in1  ...
-enterIdentifier
-  File: mux21.v , 10
-  Text: in2  ...
-enterModule_item
-  File: mux21.v , 11
-  Text: wire e ;  ...
-enterNon_port_module_item
-  File: mux21.v , 11
-  Text: wire e ;  ...
-enterModule_or_generate_item
-  File: mux21.v , 11
-  Text: wire e ;  ...
-enterModule_common_item
-  File: mux21.v , 11
-  Text: wire e ;  ...
-enterModule_or_generate_item_declaration
-  File: mux21.v , 11
-  Text: wire e ;  ...
-enterPackage_or_generate_item_declaration
-  File: mux21.v , 11
-  Text: wire e ;  ...
-enterNet_declaration
-  File: mux21.v , 11
-  Text: wire e ;  ...
-enterNetType_Wire
-  File: mux21.v , 11
-  Text: wire  ...
-enterData_type_or_implicit
-  File: mux21.v , 11
-  Text:  ...
-enterList_of_net_decl_assignments
-  File: mux21.v , 11
-  Text: e  ...
-enterNet_decl_assignment
-  File: mux21.v , 11
-  Text: e  ...
-enterIdentifier
-  File: mux21.v , 11
-  Text: e  ...
-enterModule_item
-  File: mux21.v , 13
-  Text: supply1 power ;  ...
-enterNon_port_module_item
-  File: mux21.v , 13
-  Text: supply1 power ;  ...
-enterModule_or_generate_item
-  File: mux21.v , 13
-  Text: supply1 power ;  ...
-enterModule_common_item
-  File: mux21.v , 13
-  Text: supply1 power ;  ...
-enterModule_or_generate_item_declaration
-  File: mux21.v , 13
-  Text: supply1 power ;  ...
-enterPackage_or_generate_item_declaration
-  File: mux21.v , 13
-  Text: supply1 power ;  ...
-enterNet_declaration
-  File: mux21.v , 13
-  Text: supply1 power ;  ...
-enterNetType_Supply1
-  File: mux21.v , 13
-  Text: supply1  ...
-enterData_type_or_implicit
-  File: mux21.v , 13
-  Text:  ...
-enterList_of_net_decl_assignments
-  File: mux21.v , 13
-  Text: power  ...
-enterNet_decl_assignment
-  File: mux21.v , 13
-  Text: power  ...
-enterIdentifier
-  File: mux21.v , 13
-  Text: power  ...
-enterModule_item
-  File: mux21.v , 14
-  Text: supply0 ground ;  ...
-enterNon_port_module_item
-  File: mux21.v , 14
-  Text: supply0 ground ;  ...
-enterModule_or_generate_item
-  File: mux21.v , 14
-  Text: supply0 ground ;  ...
-enterModule_common_item
-  File: mux21.v , 14
-  Text: supply0 ground ;  ...
-enterModule_or_generate_item_declaration
-  File: mux21.v , 14
-  Text: supply0 ground ;  ...
-enterPackage_or_generate_item_declaration
-  File: mux21.v , 14
-  Text: supply0 ground ;  ...
-enterNet_declaration
-  File: mux21.v , 14
-  Text: supply0 ground ;  ...
-enterNetType_Supply0
-  File: mux21.v , 14
-  Text: supply0  ...
-enterData_type_or_implicit
-  File: mux21.v , 14
-  Text:  ...
-enterList_of_net_decl_assignments
-  File: mux21.v , 14
-  Text: ground  ...
-enterNet_decl_assignment
-  File: mux21.v , 14
-  Text: ground  ...
-enterIdentifier
-  File: mux21.v , 14
-  Text: ground  ...
-enterModule_item
-  File: mux21.v , 16
-  Text: pmos N1 ( e , power  ...
-enterNon_port_module_item
-  File: mux21.v , 16
-  Text: pmos N1 ( e , power  ...
-enterModule_or_generate_item
-  File: mux21.v , 16
-  Text: pmos N1 ( e , power  ...
-enterGate_instantiation
-  File: mux21.v , 16
-  Text: pmos N1 ( e , power  ...
-enterMosSwitchType_PMos
-  File: mux21.v , 16
-  Text: pmos  ...
-enterMos_switch_instance
-  File: mux21.v , 16
-  Text: N1 ( e , power , ctr ...
-enterName_of_instance
-  File: mux21.v , 16
-  Text: N1  ...
-enterIdentifier
-  File: mux21.v , 16
-  Text: N1  ...
-enterNet_lvalue
-  File: mux21.v , 16
-  Text: e  ...
-enterPs_or_hierarchical_identifier
-  File: mux21.v , 16
-  Text: e  ...
-enterIdentifier
-  File: mux21.v , 16
-  Text: e  ...
-enterConstant_select
-  File: mux21.v , 16
-  Text:  ...
-enterConstant_bit_select[INFO :PY0400] Processing source file "synfifo.v".
-
-
-  File: mux21.v , 16
-  Text:  ...
-enterExpression
-  File: mux21.v , 16
-  Text: power  ...
-enterPrimary
-  File: mux21.v , 16
-  Text: power  ...
-enterPrimary_literal
-  File: mux21.v , 16
-  Text: power  ...
-enterIdentifier
-  File: mux21.v , 16
-  Text: power  ...
-enterExpression
-  File: mux21.v , 16
-  Text: ctrl  ...
-enterPrimary
-  File: mux21.v , 16
-  Text: ctrl  ...
-enterPrimary_literal
-  File: mux21.v , 16
-  Text: ctrl  ...
-enterIdentifier
-  File: mux21.v , 16
-  Text: ctrl  ...
-enterModule_item
-  File: mux21.v , 17
-  Text: nmos N2 ( e , ground ...
-enterNon_port_module_item
-  File: mux21.v , 17
-  Text: nmos N2 ( e , ground ...
-enterModule_or_generate_item
-  File: mux21.v , 17
-  Text: nmos N2 ( e , ground ...
-enterGate_instantiation
-  File: mux21.v , 17
-  Text: nmos N2 ( e , ground ...
-enterMosSwitchType_NMos
-  File: mux21.v , 17
-  Text: nmos  ...
-enterMos_switch_instance
-  File: mux21.v , 17
-  Text: N2 ( e , ground , ct ...
-enterName_of_instance
-  File: mux21.v , 17
-  Text: N2  ...
-enterIdentifier
-  File: mux21.v , 17
-  Text: N2  ...
-enterNet_lvalue
-  File: mux21.v , 17
-  Text: e  ...
-enterPs_or_hierarchical_identifier
-  File: mux21.v , 17
-  Text: e  ...
-enterIdentifier
-  File: mux21.v , 17
-  Text: e  ...
-enterConstant_select
-  File: mux21.v , 17
-  Text:  ...
-enterConstant_bit_select
-  File: mux21.v , 17
-  Text:  ...
-enterExpression
-  File: mux21.v , 17
-  Text: ground  ...
-enterPrimary
-  File: mux21.v , 17
-  Text: ground  ...
-enterPrimary_literal
-  File: mux21.v , 17
-  Text: ground  ...
-enterIdentifier
-  File: mux21.v , 17
-  Text: ground  ...
-enterExpression
-  File: mux21.v , 17
-  Text: ctrl  ...
-enterPrimary
-  File: mux21.v , 17
-  Text: ctrl  ...
-enterPrimary_literal
-  File: mux21.v , 17
-  Text: ctrl  ...
-enterIdentifier
-  File: mux21.v , 17
-  Text: ctrl  ...
-enterModule_item
-  File: mux21.v , 19
-  Text: cmos C1 ( out , in1  ...
-enterNon_port_module_item
-  File: mux21.v , 19
-  Text: cmos C1 ( out , in1  ...
-enterModule_or_generate_item
-  File: mux21.v , 19
-  Text: cmos C1 ( out , in1  ...
-enterGate_instantiation
-  File: mux21.v , 19
-  Text: cmos C1 ( out , in1  ...
-enterCmosSwitchType_Cmos
-  File: mux21.v , 19
-  Text: cmos  ...
-enterCmos_switch_instance
-  File: mux21.v , 19
-  Text: C1 ( out , in1 , w , ...
-enterName_of_instance
-  File: mux21.v , 19
-  Text: C1  ...
-enterIdentifier
-  File: mux21.v , 19
-  Text: C1  ...
-enterNet_lvalue
-  File: mux21.v , 19
-  Text: out  ...
-enterPs_or_hierarchical_identifier
-  File: mux21.v , 19
-  Text: out  ...
-enterIdentifier
-  File: mux21.v , 19
-  Text: out  ...
-enterConstant_select
-  File: mux21.v , 19
-  Text:  ...
-enterConstant_bit_select
-  File: mux21.v , 19
-  Text:  ...
-enterExpression
-  File: mux21.v , 19
-  Text: in1  ...
-enterPrimary
-  File: mux21.v , 19
-  Text: in1  ...
-enterPrimary_literal
-  File: mux21.v , 19
-  Text: in1  ...
-enterIdentifier
-  File: mux21.v , 19
-  Text: in1  ...
-enterExpression
-  File: mux21.v , 19
-  Text: w  ...
-enterPrimary
-  File: mux21.v , 19
-  Text: w  ...
-enterPrimary_literal
-  File: mux21.v , 19
-  Text: w  ...
-enterIdentifier
-  File: mux21.v , 19
-  Text: w  ...
-enterExpression
-  File: mux21.v , 19
-  Text: ctrl  ...
-enterPrimary
-  File: mux21.v , 19
-  Text: ctrl  ...
-enterPrimary_literal
-  File: mux21.v , 19
-  Text: ctrl  ...
-enterIdentifier
-  File: mux21.v , 19
-  Text: ctrl  ...
-enterModule_item
-  File: mux21.v , 20
-  Text: cmos C2 ( out , in2  ...
-enterNon_port_module_item
-  File: mux21.v , 20
-  Text: cmos C2 ( out , in2  ...
-enterModule_or_generate_item
-  File: mux21.v , 20
-  Text: cmos C2 ( out , in2  ...
-enterGate_instantiation
-  File: mux21.v , 20
-  Text: cmos C2 ( out , in2  ...
-enterCmosSwitchType_Cmos
-  File: mux21.v , 20
-  Text: cmos  ...
-enterCmos_switch_instance
-  File: mux21.v , 20
-  Text: C2 ( out , in2 , ctr ...
-enterName_of_instance
-  File: mux21.v , 20
-  Text: C2  ...
-enterIdentifier
-  File: mux21.v , 20
-  Text: C2  ...
-enterNet_lvalue
-  File: mux21.v , 20
-  Text: out  ...
-enterPs_or_hierarchical_identifier
-  File: mux21.v , 20
-  Text: out  ...
-enterIdentifier
-  File: mux21.v , 20
-  Text: out  ...
-enterConstant_select
-  File: mux21.v , 20
-  Text:  ...
-enterConstant_bit_select
-  File: mux21.v , 20
-  Text:  ...
-enterExpression
-  File: mux21.v , 20
-  Text: in2  ...
-enterPrimary
-  File: mux21.v , 20
-  Text: in2  ...
-enterPrimary_literal
-  File: mux21.v , 20
-  Text: in2  ...
-enterIdentifier
-  File: mux21.v , 20
-  Text: in2  ...
-enterExpression
-  File: mux21.v , 20
-  Text: ctrl  ...
-enterPrimary
-  File: mux21.v , 20
-  Text: ctrl  ...
-enterPrimary_literal
-  File: mux21.v , 20
-  Text: ctrl  ...
-enterIdentifier
-  File: mux21.v , 20
-  Text: ctrl  ...
-enterExpression
-  File: mux21.v , 20
-  Text: w  ...
-enterPrimary
-  File: mux21.v , 20
-  Text: w  ...
-enterPrimary_literal
-  File: mux21.v , 20
-  Text: w  ...
-enterIdentifier
-  File: mux21.v , 20
-  Text: w  ...
-enterEndmodule
-  File: mux21.v , 22
-  Text: endmodule  ...
-enterTop_level_rule
-  File: synfifo.v , 8
-  Text: module syn_fifo ( cl ...
-enterNull_rule
-  File: synfifo.v , 8
-  Text:  ...
-enterSource_text
-  File: synfifo.v , 8
-  Text: module syn_fifo ( cl ...
-enterDescription
-  File: synfifo.v , 8
-  Text: module syn_fifo ( cl ...
-enterModule_declaration
-  File: synfifo.v , 8
-  Text: module syn_fifo ( cl ...
-enterModule_nonansi_header
-  File: synfifo.v , 8
-  Text: module syn_fifo ( cl ...
-enterModule_keyword
-  File: synfifo.v , 8
-  Text: module  ...
-enterIdentifier
-  File: synfifo.v , 8
-  Text: syn_fifo  ...
-enterList_of_ports
-  File: synfifo.v , 8
-  Text: ( clk , rst , wr_cs  ...
-enterPort
-  File: synfifo.v , 9
-  Text: clk  ...
-enterPort_expression
-  File: synfifo.v , 9
-  Text: clk  ...
-enterPort_reference
-  File: synfifo.v , 9
-  Text: clk  ...
-enterIdentifier
-  File: synfifo.v , 9
-  Text: clk  ...
-enterConstant_select
-  File: synfifo.v , 9
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 9
-  Text:  ...
-enterPort
-  File: synfifo.v , 10
-  Text: rst  ...
-enterPort_expression
-  File: synfifo.v , 10
-  Text: rst  ...
-enterPort_reference
-  File: synfifo.v , 10
-  Text: rst  ...
-enterIdentifier
-  File: synfifo.v , 10
-  Text: rst  ...
-enterConstant_select
-  File: synfifo.v , 10
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 10
-  Text:  ...
-enterPort
-  File: synfifo.v , 11
-  Text: wr_cs  ...
-enterPort_expression
-  File: synfifo.v , 11
-  Text: wr_cs  ...
-enterPort_reference
-  File: synfifo.v , 11
-  Text: wr_cs  ...
-enterIdentifier
-  File: synfifo.v , 11
-  Text: wr_cs  ...
-enterConstant_select
-  File: synfifo.v , 11
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 11
-  Text:  ...
-enterPort
-  File: synfifo.v , 12
-  Text: rd_cs  ...
-enterPort_expression
-  File: synfifo.v , 12
-  Text: rd_cs  ...
-enterPort_reference
-  File: synfifo.v , 12
-  Text: rd_cs  ...
-enterIdentifier
-  File: synfifo.v , 12
-  Text: rd_cs  ...
-enterConstant_select
-  File: synfifo.v , 12
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 12
-  Text:  ...
-enterPort
-  File: synfifo.v , 13
-  Text: data_in  ...
-enterPort_expression
-  File: synfifo.v , 13
-  Text: data_in  ...
-enterPort_reference
-  File: synfifo.v , 13
-  Text: data_in  ...
-enterIdentifier
-  File: synfifo.v , 13
-  Text: data_in  ...
-enterConstant_select
-  File: synfifo.v , 13
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 13
-  Text:  ...
-enterPort
-  File: synfifo.v , 14
-  Text: rd_en  ...
-enterPort_expression
-  File: synfifo.v , 14
-  Text: rd_en  ...
-enterPort_reference
-  File: synfifo.v , 14
-  Text: rd_en  ...
-enterIdentifier
-  File: synfifo.v , 14
-  Text: rd_en  ...
-enterConstant_select
-  File: synfifo.v , 14
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 14
-  Text:  ...
-enterPort
-  File: synfifo.v , 15
-  Text: wr_en  ...
-enterPort_expression
-  File: synfifo.v , 15
-  Text: wr_en  ...
-enterPort_reference
-  File: synfifo.v , 15
-  Text: wr_en  ...
-enterIdentifier
-  File: synfifo.v , 15
-  Text: wr_en  ...
-enterConstant_select
-  File: synfifo.v , 15
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 15
-  Text:  ...
-enterPort
-  File: synfifo.v , 16
-  Text: data_out  ...
-enterPort_expression
-  File: synfifo.v , 16
-  Text: data_out  ...
-enterPort_reference
-  File: synfifo.v , 16
-  Text: data_out  ...
-enterIdentifier
-  File: synfifo.v , 16
-  Text: data_out  ...
-enterConstant_select
-  File: synfifo.v , 16
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 16
-  Text:  ...
-enterPort
-  File: synfifo.v , 17
-  Text: empty  ...
-enterPort_expression
-  File: synfifo.v , 17
-  Text: empty  ...
-enterPort_reference
-  File: synfifo.v , 17
-  Text: empty  ...
-enterIdentifier
-  File: synfifo.v , 17
-  Text: empty  ...
-enterConstant_select
-  File: synfifo.v , 17
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 17
-  Text:  ...
-enterPort
-  File: synfifo.v , 18
-  Text: full  ...
-enterPort_expression
-  File: synfifo.v , 18
-  Text: full  ...
-enterPort_reference
-  File: synfifo.v , 18
-  Text: full  ...
-enterIdentifier
-  File: synfifo.v , 18
-  Text: full  ...
-enterConstant_select
-  File: synfifo.v , 19
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 19
-  Text:  ...
-enterModule_item
-  File: synfifo.v , 22
-  Text: parameter DATA_WIDTH ...
-enterNon_port_module_item
-  File: synfifo.v , 22
-  Text: parameter DATA_WIDTH ...
-enterModule_or_generate_item
-  File: synfifo.v , 22
-  Text: parameter DATA_WIDTH ...
-enterModule_common_item
-  File: synfifo.v , 22
-  Text: parameter DATA_WIDTH ...
-enterModule_or_generate_item_declaration
-  File: synfifo.v , 22
-  Text: parameter DATA_WIDTH ...
-enterPackage_or_generate_item_declaration
-  File: synfifo.v , 22
-  Text: parameter DATA_WIDTH ...
-enterParameter_declaration
-  File: synfifo.v , 22
-  Text: parameter DATA_WIDTH ...
-enterData_type_or_implicit
-  File: synfifo.v , 22
-  Text:  ...
-enterList_of_param_assignments
-  File: synfifo.v , 22
-  Text: DATA_WIDTH = 8  ...
-enterParam_assignment
-  File: synfifo.v , 22
-  Text: DATA_WIDTH = 8  ...
-enterIdentifier
-  File: synfifo.v , 22
-  Text: DATA_WIDTH  ...
-enterConstant_param_expression
-  File: synfifo.v , 22
-  Text: 8  ...
-enterConstant_mintypmax_expression
-  File: synfifo.v , 22
-  Text: 8  ...
-enterConstant_expression
-  File: synfifo.v , 22
-  Text: 8  ...
-enterConstant_primary
-  File: synfifo.v , 22
-  Text: 8  ...
-enterPrimary_literal
-  File: synfifo.v , 22
-  Text: 8  ...
-enterNumber_Integral
-  File: synfifo.v , 22
-  Text: 8  ...
-enterModule_item
-  File: synfifo.v , 23
-  Text: parameter ADDR_WIDTH ...
-enterNon_port_module_item
-  File: synfifo.v , 23
-  Text: parameter ADDR_WIDTH ...
-enterModule_or_generate_item
-  File: synfifo.v , 23
-  Text: parameter ADDR_WIDTH ...
-enterModule_common_item
-  File: synfifo.v , 23
-  Text: parameter ADDR_WIDTH ...
-enterModule_or_generate_item_declaration
-  File: synfifo.v , 23
-  Text: parameter ADDR_WIDTH ...
-enterPackage_or_generate_item_declaration
-  File: synfifo.v , 23
-  Text: parameter ADDR_WIDTH ...
-enterParameter_declaration
-  File: synfifo.v , 23
-  Text: parameter ADDR_WIDTH ...
-enterData_type_or_implicit
-  File: synfifo.v , 23
-  Text:  ...
-enterList_of_param_assignments
-  File: synfifo.v , 23
-  Text: ADDR_WIDTH = 8  ...
-enterParam_assignment
-  File: synfifo.v , 23
-  Text: ADDR_WIDTH = 8  ...
-enterIdentifier
-  File: synfifo.v , 23
-  Text: ADDR_WIDTH  ...
-enterConstant_param_expression
-  File: synfifo.v , 23
-  Text: 8  ...
-enterConstant_mintypmax_expression
-  File: synfifo.v , 23
-  Text: 8  ...
-enterConstant_expression
-  File: synfifo.v , 23
-  Text: 8  ...
-enterConstant_primary
-  File: synfifo.v , 23
-  Text: 8  ...
-enterPrimary_literal
-  File: synfifo.v , 23
-  Text: 8  ...
-enterNumber_Integral
-  File: synfifo.v , 23
-  Text: 8  ...
-enterModule_item
-  File: synfifo.v , 24
-  Text: parameter RAM_DEPTH  ...
-enterNon_port_module_item
-  File: synfifo.v , 24
-  Text: parameter RAM_DEPTH  ...
-enterModule_or_generate_item
-  File: synfifo.v , 24
-  Text: parameter RAM_DEPTH  ...
-enterModule_common_item
-  File: synfifo.v , 24
-  Text: parameter RAM_DEPTH  ...
-enterModule_or_generate_item_declaration
-  File: synfifo.v , 24
-  Text: parameter RAM_DEPTH  ...
-enterPackage_or_generate_item_declaration
-  File: synfifo.v , 24
-  Text: parameter RAM_DEPTH  ...
-enterParameter_declaration
-  File: synfifo.v , 24
-  Text: parameter RAM_DEPTH  ...
-enterData_type_or_implicit
-  File: synfifo.v , 24
-  Text:  ...
-enterList_of_param_assignments
-  File: synfifo.v , 24
-  Text: RAM_DEPTH = ( 1 << A ...
-enterParam_assignment
-  File: synfifo.v , 24
-  Text: RAM_DEPTH = ( 1 << A ...
-enterIdentifier
-  File: synfifo.v , 24
-  Text: RAM_DEPTH  ...
-enterConstant_param_expression
-  File: synfifo.v , 24
-  Text: ( 1 << ADDR_WIDTH )  ...
-enterConstant_mintypmax_expression
-  File: synfifo.v , 24
-  Text: ( 1 << ADDR_WIDTH )  ...
-enterConstant_expression
-  File: synfifo.v , 24
-  Text: ( 1 << ADDR_WIDTH )  ...
-enterConstant_primary
-  File: synfifo.v , 24
-  Text: ( 1 << ADDR_WIDTH )  ...
-enterConstant_mintypmax_expression
-  File: synfifo.v , 24
-  Text: 1 << ADDR_WIDTH  ...
-enterConstant_expression
-  File: synfifo.v , 24
-  Text: 1 << ADDR_WIDTH  ...
-enterConstant_expression
-  File: synfifo.v , 24
-  Text: 1  ...
-enterConstant_primary
-  File: synfifo.v , 24
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 24
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 24
-  Text: 1  ...
-enterBinOp_ShiftLeft
-  File: synfifo.v , 24
-  Text: <<  ...
-enterConstant_expression
-  File: synfifo.v , 24
-  Text: ADDR_WIDTH  ...
-enterConstant_primary
-  File: synfifo.v , 24
-  Text: ADDR_WIDTH  ...
-enterPrimary_literal
-  File: synfifo.v , 24
-  Text: ADDR_WIDTH  ...
-enterIdentifier
-  File: synfifo.v , 24
-  Text: ADDR_WIDTH  ...
-enterModule_item
-  File: synfifo.v , 26
-  Text: input clk ;  ...
-enterPort_declaration
-  File: synfifo.v , 26
-  Text: input clk  ...
-enterInput_declaration
-  File: synfifo.v , 26
-  Text: input clk  ...
-enterNet_port_type
-  File: synfifo.v , 26
-  Text:  ...
-enterData_type_or_implicit
-  File: synfifo.v , 26
-  Text:  ...
-enterList_of_port_identifiers
-  File: synfifo.v , 26
-  Text: clk  ...
-enterIdentifier
-  File: synfifo.v , 26
-  Text: clk  ...
-enterModule_item
-  File: synfifo.v , 27
-  Text: input rst ;  ...
-enterPort_declaration
-  File: synfifo.v , 27
-  Text: input rst  ...
-enterInput_declaration
-  File: synfifo.v , 27
-  Text: input rst  ...
-enterNet_port_type
-  File: synfifo.v , 27
-  Text:  ...
-enterData_type_or_implicit
-  File: synfifo.v , 27
-  Text:  ...
-enterList_of_port_identifiers
-  File: synfifo.v , 27
-  Text: rst  ...
-enterIdentifier
-  File: synfifo.v , 27
-  Text: rst  ...
-enterModule_item
-  File: synfifo.v , 28
-  Text: input wr_cs ;  ...
-enterPort_declaration
-  File: synfifo.v , 28
-  Text: input wr_cs  ...
-enterInput_declaration
-  File: synfifo.v , 28
-  Text: input wr_cs  ...
-enterNet_port_type
-  File: synfifo.v , 28
-  Text:  ...
-enterData_type_or_implicit
-  File: synfifo.v , 28
-  Text:  ...
-enterList_of_port_identifiers
-  File: synfifo.v , 28
-  Text: wr_cs  ...
-enterIdentifier
-  File: synfifo.v , 28
-  Text: wr_cs  ...
-enterModule_item
-  File: synfifo.v , 29
-  Text: input rd_cs ;  ...
-enterPort_declaration
-  File: synfifo.v , 29
-  Text: input rd_cs  ...
-enterInput_declaration
-  File: synfifo.v , 29
-  Text: input rd_cs  ...
-enterNet_port_type
-  File: synfifo.v , 29
-  Text:  ...
-enterData_type_or_implicit
-  File: synfifo.v , 29
-  Text:  ...
-enterList_of_port_identifiers
-  File: synfifo.v , 29
-  Text: rd_cs  ...
-enterIdentifier
-  File: synfifo.v , 29
-  Text: rd_cs  ...
-enterModule_item
-  File: synfifo.v , 30
-  Text: input rd_en ;  ...
-enterPort_declaration
-  File: synfifo.v , 30
-  Text: input rd_en  ...
-enterInput_declaration
-  File: synfifo.v , 30
-  Text: input rd_en  ...
-enterNet_port_type
-  File: synfifo.v , 30
-  Text:  ...
-enterData_type_or_implicit
-  File: synfifo.v , 30
-  Text:  ...
-enterList_of_port_identifiers
-  File: synfifo.v , 30
-  Text: rd_en  ...
-enterIdentifier
-  File: synfifo.v , 30
-  Text: rd_en  ...
-enterModule_item
-  File: synfifo.v , 31
-  Text: input wr_en ;  ...
-enterPort_declaration
-  File: synfifo.v , 31
-  Text: input wr_en  ...
-enterInput_declaration
-  File: synfifo.v , 31
-  Text: input wr_en  ...
-enterNet_port_type
-  File: synfifo.v , 31
-  Text:  ...
-enterData_type_or_implicit
-  File: synfifo.v , 31
-  Text:  ...
-enterList_of_port_identifiers
-  File: synfifo.v , 31
-  Text: wr_en  ...
-enterIdentifier
-  File: synfifo.v , 31
-  Text: wr_en  ...
-enterModule_item
-  File: synfifo.v , 32
-  Text: input [ DATA_WIDTH - ...
-enterPort_declaration
-  File: synfifo.v , 32
-  Text: input [ DATA_WIDTH - ...
-enterInput_declaration
-  File: synfifo.v , 32
-  Text: input [ DATA_WIDTH - ...
-enterNet_port_type
-  File: synfifo.v , 32
-  Text: [ DATA_WIDTH - 1 : 0 ...
-enterData_type_or_implicit
-  File: synfifo.v , 32
-  Text: [ DATA_WIDTH - 1 : 0 ...
-enterPacked_dimension
-  File: synfifo.v , 32
-  Text: [ DATA_WIDTH - 1 : 0 ...
-enterConstant_range
-  File: synfifo.v , 32
-  Text: DATA_WIDTH - 1 : 0  ...
-enterConstant_expression
-  File: synfifo.v , 32
-  Text: DATA_WIDTH - 1  ...
-enterConstant_expression
-  File: synfifo.v , 32
-  Text: DATA_WIDTH  ...
-enterConstant_primary
-  File: synfifo.v , 32
-  Text: DATA_WIDTH  ...
-enterPrimary_literal
-  File: synfifo.v , 32
-  Text: DATA_WIDTH  ...
-enterIdentifier
-  File: synfifo.v , 32
-  Text: DATA_WIDTH  ...
-enterBinOp_Minus
-  File: synfifo.v , 32
-  Text: -  ...
-enterConstant_expression
-  File: synfifo.v , 32
-  Text: 1  ...
-enterConstant_primary
-  File: synfifo.v , 32
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 32
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 32
-  Text: 1  ...
-enterConstant_expression
-  File: synfifo.v , 32
-  Text: 0  ...
-enterConstant_primary
-  File: synfifo.v , 32
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 32
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 32
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: synfifo.v , 32
-  Text: data_in  ...
-enterIdentifier
-  File: synfifo.v , 32
-  Text: data_in  ...
-enterModule_item
-  File: synfifo.v , 33
-  Text: output full ;  ...
-enterPort_declaration
-  File: synfifo.v , 33
-  Text: output full  ...
-enterOutput_declaration
-  File: synfifo.v , 33
-  Text: output full  ...
-enterNet_port_type
-  File: synfifo.v , 33
-  Text:  ...
-enterData_type_or_implicit
-  File: synfifo.v , 33
-  Text:  ...
-enterList_of_port_identifiers
-  File: synfifo.v , 33
-  Text: full  ...
-enterIdentifier
-  File: synfifo.v , 33
-  Text: full  ...
-enterModule_item
-  File: synfifo.v , 34
-  Text: output empty ;  ...
-enterPort_declaration
-  File: synfifo.v , 34
-  Text: output empty  ...
-enterOutput_declaration
-  File: synfifo.v , 34
-  Text: output empty  ...
-enterNet_port_type
-  File: synfifo.v , 34
-  Text:  ...
-enterData_type_or_implicit
-  File: synfifo.v , 34
-  Text:  ...
-enterList_of_port_identifiers
-  File: synfifo.v , 34
-  Text: empty  ...
-enterIdentifier
-  File: synfifo.v , 34
-  Text: empty  ...
-enterModule_item
-  File: synfifo.v , 35
-  Text: output [ DATA_WIDTH  ...
-enterPort_declaration
-  File: synfifo.v , 35
-  Text: output [ DATA_WIDTH  ...
-enterOutput_declaration
-  File: synfifo.v , 35
-  Text: output [ DATA_WIDTH  ...
-enterNet_port_type
-  File: synfifo.v , 35
-  Text: [ DATA_WIDTH - 1 : 0 ...
-enterData_type_or_implicit
-  File: synfifo.v , 35
-  Text: [ DATA_WIDTH - 1 : 0 ...
-enterPacked_dimension
-  File: synfifo.v , 35
-  Text: [ DATA_WIDTH - 1 : 0 ...
-enterConstant_range
-  File: synfifo.v , 35
-  Text: DATA_WIDTH - 1 : 0  ...
-enterConstant_expression
-  File: synfifo.v , 35
-  Text: DATA_WIDTH - 1  ...
-enterConstant_expression
-  File: synfifo.v , 35
-  Text: DATA_WIDTH  ...
-enterConstant_primary
-  File: synfifo.v , 35
-  Text: DATA_WIDTH  ...
-enterPrimary_literal
-  File: synfifo.v , 35
-  Text: DATA_WIDTH  ...
-enterIdentifier
-  File: synfifo.v , 35
-  Text: DATA_WIDTH  ...
-enterBinOp_Minus
-  File: synfifo.v , 35
-  Text: -  ...
-enterConstant_expression
-  File: synfifo.v , 35
-  Text: 1  ...
-enterConstant_primary
-  File: synfifo.v , 35
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 35
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 35
-  Text: 1  ...
-enterConstant_expression
-  File: synfifo.v , 35
-  Text: 0  ...
-enterConstant_primary
-  File: synfifo.v , 35
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 35
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 35
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: synfifo.v , 35
-  Text: data_out  ...
-enterIdentifier
-  File: synfifo.v , 35
-  Text: data_out  ...
-enterModule_item
-  File: synfifo.v , 38
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterNon_port_module_item
-  File: synfifo.v , 38
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterModule_or_generate_item
-  File: synfifo.v , 38
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterModule_common_item
-  File: synfifo.v , 38
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterModule_or_generate_item_declaration
-  File: synfifo.v , 38
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterPackage_or_generate_item_declaration
-  File: synfifo.v , 38
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterData_declaration
-  File: synfifo.v , 38
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterVariable_declaration
-  File: synfifo.v , 38
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterData_type
-  File: synfifo.v , 38
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterIntVec_TypeReg
-  File: synfifo.v , 38
-  Text: reg  ...
-enterPacked_dimension
-  File: synfifo.v , 38
-  Text: [ ADDR_WIDTH - 1 : 0 ...
-enterConstant_range
-  File: synfifo.v , 38
-  Text: ADDR_WIDTH - 1 : 0  ...
-enterConstant_expression
-  File: synfifo.v , 38
-  Text: ADDR_WIDTH - 1  ...
-enterConstant_expression
-  File: synfifo.v , 38
-  Text: ADDR_WIDTH  ...
-enterConstant_primary
-  File: synfifo.v , 38
-  Text: ADDR_WIDTH  ...
-enterPrimary_literal
-  File: synfifo.v , 38
-  Text: ADDR_WIDTH  ...
-enterIdentifier
-  File: synfifo.v , 38
-  Text: ADDR_WIDTH  ...
-enterBinOp_Minus
-  File: synfifo.v , 38
-  Text: -  ...
-enterConstant_expression
-  File: synfifo.v , 38
-  Text: 1  ...
-enterConstant_primary
-  File: synfifo.v , 38
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 38
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 38
-  Text: 1  ...
-enterConstant_expression
-  File: synfifo.v , 38
-  Text: 0  ...
-enterConstant_primary
-  File: synfifo.v , 38
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 38
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 38
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: synfifo.v , 38
-  Text: wr_pointer  ...
-enterVariable_decl_assignment
-  File: synfifo.v , 38
-  Text: wr_pointer  ...
-enterIdentifier
-  File: synfifo.v , 38
-  Text: wr_pointer  ...
-enterModule_item
-  File: synfifo.v , 39
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterNon_port_module_item
-  File: synfifo.v , 39
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterModule_or_generate_item
-  File: synfifo.v , 39
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterModule_common_item
-  File: synfifo.v , 39
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterModule_or_generate_item_declaration
-  File: synfifo.v , 39
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterPackage_or_generate_item_declaration
-  File: synfifo.v , 39
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterData_declaration
-  File: synfifo.v , 39
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterVariable_declaration
-  File: synfifo.v , 39
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterData_type
-  File: synfifo.v , 39
-  Text: reg [ ADDR_WIDTH - 1 ...
-enterIntVec_TypeReg
-  File: synfifo.v , 39
-  Text: reg  ...
-enterPacked_dimension
-  File: synfifo.v , 39
-  Text: [ ADDR_WIDTH - 1 : 0 ...
-enterConstant_range
-  File: synfifo.v , 39
-  Text: ADDR_WIDTH - 1 : 0  ...
-enterConstant_expression
-  File: synfifo.v , 39
-  Text: ADDR_WIDTH - 1  ...
-enterConstant_expression
-  File: synfifo.v , 39
-  Text: ADDR_WIDTH  ...
-enterConstant_primary
-  File: synfifo.v , 39
-  Text: ADDR_WIDTH  ...
-enterPrimary_literal
-  File: synfifo.v , 39
-  Text: ADDR_WIDTH  ...
-enterIdentifier
-  File: synfifo.v , 39
-  Text: ADDR_WIDTH  ...
-enterBinOp_Minus
-  File: synfifo.v , 39
-  Text: -  ...
-enterConstant_expression
-  File: synfifo.v , 39
-  Text: 1  ...
-enterConstant_primary
-  File: synfifo.v , 39
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 39
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 39
-  Text: 1  ...
-enterConstant_expression
-  File: synfifo.v , 39
-  Text: 0  ...
-enterConstant_primary
-  File: synfifo.v , 39
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 39
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 39
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: synfifo.v , 39
-  Text: rd_pointer  ...
-enterVariable_decl_assignment
-  File: synfifo.v , 39
-  Text: rd_pointer  ...
-enterIdentifier
-  File: synfifo.v , 39
-  Text: rd_pointer  ...
-enterModule_item
-  File: synfifo.v , 40
-  Text: reg [ ADDR_WIDTH : 0 ...
-enterNon_port_module_item
-  File: synfifo.v , 40
-  Text: reg [ ADDR_WIDTH : 0 ...
-enterModule_or_generate_item
-  File: synfifo.v , 40
-  Text: reg [ ADDR_WIDTH : 0 ...
-enterModule_common_item
-  File: synfifo.v , 40
-  Text: reg [ ADDR_WIDTH : 0 ...
-enterModule_or_generate_item_declaration
-  File: synfifo.v , 40
-  Text: reg [ ADDR_WIDTH : 0 ...
-enterPackage_or_generate_item_declaration
-  File: synfifo.v , 40
-  Text: reg [ ADDR_WIDTH : 0 ...
-enterData_declaration
-  File: synfifo.v , 40
-  Text: reg [ ADDR_WIDTH : 0 ...
-enterVariable_declaration
-  File: synfifo.v , 40
-  Text: reg [ ADDR_WIDTH : 0 ...
-enterData_type
-  File: synfifo.v , 40
-  Text: reg [ ADDR_WIDTH : 0 ...
-enterIntVec_TypeReg
-  File: synfifo.v , 40
-  Text: reg  ...
-enterPacked_dimension
-  File: synfifo.v , 40
-  Text: [ ADDR_WIDTH : 0 ]  ...
-enterConstant_range
-  File: synfifo.v , 40
-  Text: ADDR_WIDTH : 0  ...
-enterConstant_expression
-  File: synfifo.v , 40
-  Text: ADDR_WIDTH  ...
-enterConstant_primary
-  File: synfifo.v , 40
-  Text: ADDR_WIDTH  ...
-enterPrimary_literal
-  File: synfifo.v , 40
-  Text: ADDR_WIDTH  ...
-enterIdentifier
-  File: synfifo.v , 40
-  Text: ADDR_WIDTH  ...
-enterConstant_expression
-  File: synfifo.v , 40
-  Text: 0  ...
-enterConstant_primary
-  File: synfifo.v , 40
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 40
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 40
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: synfifo.v , 40
-  Text: status_cnt  ...
-enterVariable_decl_assignment
-  File: synfifo.v , 40
-  Text: status_cnt  ...
-enterIdentifier
-  File: synfifo.v , 40
-  Text: status_cnt  ...
-enterModule_item
-  File: synfifo.v , 41
-  Text: reg [ DATA_WIDTH - 1 ...
-enterNon_port_module_item
-  File: synfifo.v , 41
-  Text: reg [ DATA_WIDTH - 1 ...
-enterModule_or_generate_item
-  File: synfifo.v , 41
-  Text: reg [ DATA_WIDTH - 1 ...
-enterModule_common_item
-  File: synfifo.v , 41
-  Text: reg [ DATA_WIDTH - 1 ...
-enterModule_or_generate_item_declaration
-  File: synfifo.v , 41
-  Text: reg [ DATA_WIDTH - 1 ...
-enterPackage_or_generate_item_declaration
-  File: synfifo.v , 41
-  Text: reg [ DATA_WIDTH - 1 ...
-enterData_declaration
-  File: synfifo.v , 41
-  Text: reg [ DATA_WIDTH - 1 ...
-enterVariable_declaration
-  File: synfifo.v , 41
-  Text: reg [ DATA_WIDTH - 1 ...
-enterData_type
-  File: synfifo.v , 41
-  Text: reg [ DATA_WIDTH - 1 ...
-enterIntVec_TypeReg
-  File: synfifo.v , 41
-  Text: reg  ...
-enterPacked_dimension
-  File: synfifo.v , 41
-  Text: [ DATA_WIDTH - 1 : 0 ...
-enterConstant_range
-  File: synfifo.v , 41
-  Text: DATA_WIDTH - 1 : 0  ...
-enterConstant_expression
-  File: synfifo.v , 41
-  Text: DATA_WIDTH - 1  ...
-enterConstant_expression
-  File: synfifo.v , 41
-  Text: DATA_WIDTH  ...
-enterConstant_primary
-  File: synfifo.v , 41
-  Text: DATA_WIDTH  ...
-enterPrimary_literal
-  File: synfifo.v , 41
-  Text: DATA_WIDTH  ...
-enterIdentifier
-  File: synfifo.v , 41
-  Text: DATA_WIDTH  ...
-enterBinOp_Minus
-  File: synfifo.v , 41
-  Text: -  ...
-enterConstant_expression
-  File: synfifo.v , 41
-  Text: 1  ...
-enterConstant_primary
-  File: synfifo.v , 41
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 41
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 41
-  Text: 1  ...
-enterConstant_expression
-  File: synfifo.v , 41
-  Text: 0  ...
-enterConstant_primary
-  File: synfifo.v , 41
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 41
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 41
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: synfifo.v , 41
-  Text: data_out  ...
-enterVariable_decl_assignment
-  File: synfifo.v , 41
-  Text: data_out  ...
-enterIdentifier
-  File: synfifo.v , 41
-  Text: data_out  ...
-enterModule_item
-  File: synfifo.v , 42
-  Text: wire [ DATA_WIDTH -  ...
-enterNon_port_module_item
-  File: synfifo.v , 42
-  Text: wire [ DATA_WIDTH -  ...
-enterModule_or_generate_item
-  File: synfifo.v , 42
-  Text: wire [ DATA_WIDTH -  ...
-enterModule_common_item
-  File: synfifo.v , 42
-  Text: wire [ DATA_WIDTH -  ...
-enterModule_or_generate_item_declaration
-  File: synfifo.v , 42
-  Text: wire [ DATA_WIDTH -  ...
-enterPackage_or_generate_item_declaration
-  File: synfifo.v , 42
-  Text: wire [ DATA_WIDTH -  ...
-enterNet_declaration
-  File: synfifo.v , 42
-  Text: wire [ DATA_WIDTH -  ...
-enterNetType_Wire
-  File: synfifo.v , 42
-  Text: wire  ...
-enterData_type_or_implicit
-  File: synfifo.v , 42
-  Text: [ DATA_WIDTH - 1 : 0 ...
-enterPacked_dimension
-  File: synfifo.v , 42
-  Text: [ DATA_WIDTH - 1 : 0 ...
-enterConstant_range
-  File: synfifo.v , 42
-  Text: DATA_WIDTH - 1 : 0  ...
-enterConstant_expression
-  File: synfifo.v , 42
-  Text: DATA_WIDTH - 1  ...
-enterConstant_expression
-  File: synfifo.v , 42
-  Text: DATA_WIDTH  ...
-enterConstant_primary
-  File: synfifo.v , 42
-  Text: DATA_WIDTH  ...
-enterPrimary_literal
-  File: synfifo.v , 42
-  Text: DATA_WIDTH  ...
-enterIdentifier
-  File: synfifo.v , 42
-  Text: DATA_WIDTH  ...
-enterBinOp_Minus
-  File: synfifo.v , 42
-  Text: -  ...
-enterConstant_expression
-  File: synfifo.v , 42
-  Text: 1  ...
-enterConstant_primary
-  File: synfifo.v , 42
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 42
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 42
-  Text: 1  ...
-enterConstant_expression
-  File: synfifo.v , 42
-  Text: 0  ...
-enterConstant_primary
-  File: synfifo.v , 42
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 42
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 42
-  Text: 0  ...
-enterList_of_net_decl_assignments
-  File: synfifo.v , 42
-  Text: data_ram  ...
-enterNet_decl_assignment
-  File: synfifo.v , 42
-  Text: data_ram  ...
-enterIdentifier
-  File: synfifo.v , 42
-  Text: data_ram  ...
-enterModule_item
-  File: synfifo.v , 45
-  Text: assign full = ( stat ...
-enterNon_port_module_item
-  File: synfifo.v , 45
-  Text: assign full = ( stat ...
-enterModule_or_generate_item
-  File: synfifo.v , 45
-  Text: assign full = ( stat ...
-enterModule_common_item
-  File: synfifo.v , 45
-  Text: assign full = ( stat ...
-enterContinuous_assign
-  File: synfifo.v , 45
-  Text: assign full = ( stat ...
-enterList_of_net_assignments
-  File: synfifo.v , 45
-  Text: full = ( status_cnt  ...
-enterNet_assignment
-  File: synfifo.v , 45
-  Text: full = ( status_cnt  ...
-enterNet_lvalue
-  File: synfifo.v , 45
-  Text: full  ...
-enterPs_or_hierarchical_identifier
-  File: synfifo.v , 45
-  Text: full  ...
-enterIdentifier
-  File: synfifo.v , 45
-  Text: full  ...
-enterConstant_select
-  File: synfifo.v , 45
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 45
-  Text:  ...
-enterExpression
-  File: synfifo.v , 45
-  Text: ( status_cnt == ( RA ...
-enterPrimary
-  File: synfifo.v , 45
-  Text: ( status_cnt == ( RA ...
-enterMintypmax_expression
-  File: synfifo.v , 45
-  Text: status_cnt == ( RAM_ ...
-enterExpression
-  File: synfifo.v , 45
-  Text: status_cnt == ( RAM_ ...
-enterExpression
-  File: synfifo.v , 45
-  Text: status_cnt  ...
-enterPrimary
-  File: synfifo.v , 45
-  Text: status_cnt  ...
-enterPrimary_literal
-  File: synfifo.v , 45
-  Text: status_cnt  ...
-enterIdentifier
-  File: synfifo.v , 45
-  Text: status_cnt  ...
-enterBinOp_Equiv
-  File: synfifo.v , 45
-  Text: ==  ...
-enterExpression
-  File: synfifo.v , 45
-  Text: ( RAM_DEPTH - 1 )  ...
-enterPrimary
-  File: synfifo.v , 45
-  Text: ( RAM_DEPTH - 1 )  ...
-enterMintypmax_expression
-  File: synfifo.v , 45
-  Text: RAM_DEPTH - 1  ...
-enterExpression
-  File: synfifo.v , 45
-  Text: RAM_DEPTH - 1  ...
-enterExpression
-  File: synfifo.v , 45
-  Text: RAM_DEPTH  ...
-enterPrimary
-  File: synfifo.v , 45
-  Text: RAM_DEPTH  ...
-enterPrimary_literal
-  File: synfifo.v , 45
-  Text: RAM_DEPTH  ...
-enterIdentifier
-  File: synfifo.v , 45
-  Text: RAM_DEPTH  ...
-enterBinOp_Minus
-  File: synfifo.v , 45
-  Text: -  ...
-enterExpression
-  File: synfifo.v , 45
-  Text: 1  ...
-enterPrimary
-  File: synfifo.v , 45
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 45
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 45
-  Text: 1  ...
-enterModule_item
-  File: synfifo.v , 46
-  Text: assign empty = ( sta ...
-enterNon_port_module_item
-  File: synfifo.v , 46
-  Text: assign empty = ( sta ...
-enterModule_or_generate_item
-  File: synfifo.v , 46
-  Text: assign empty = ( sta ...
-enterModule_common_item
-  File: synfifo.v , 46
-  Text: assign empty = ( sta ...
-enterContinuous_assign
-  File: synfifo.v , 46
-  Text: assign empty = ( sta ...
-enterList_of_net_assignments
-  File: synfifo.v , 46
-  Text: empty = ( status_cnt ...
-enterNet_assignment
-  File: synfifo.v , 46
-  Text: empty = ( status_cnt ...
-enterNet_lvalue
-  File: synfifo.v , 46
-  Text: empty  ...
-enterPs_or_hierarchical_identifier
-  File: synfifo.v , 46
-  Text: empty  ...
-enterIdentifier
-  File: synfifo.v , 46
-  Text: empty  ...
-enterConstant_select
-  File: synfifo.v , 46
-  Text:  ...
-enterConstant_bit_select
-  File: synfifo.v , 46
-  Text:  ...
-enterExpression
-  File: synfifo.v , 46
-  Text: ( status_cnt == 0 )  ...
-enterPrimary
-  File: synfifo.v , 46
-  Text: ( status_cnt == 0 )  ...
-enterMintypmax_expression
-  File: synfifo.v , 46
-  Text: status_cnt == 0  ...
-enterExpression
-  File: synfifo.v , 46
-  Text: status_cnt == 0  ...
-enterExpression
-  File: synfifo.v , 46
-  Text: status_cnt  ...
-enterPrimary
-  File: synfifo.v , 46
-  Text: status_cnt  ...
-enterPrimary_literal
-  File: synfifo.v , 46
-  Text: status_cnt  ...
-enterIdentifier
-  File: synfifo.v , 46
-  Text: status_cnt  ...
-enterBinOp_Equiv
-  File: synfifo.v , 46
-  Text: ==  ...
-enterExpression
-  File: synfifo.v , 46
-  Text: 0  ...
-enterPrimary
-  File: synfifo.v , 46
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 46
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 46
-  Text: 0  ...
-enterModule_item
-  File: synfifo.v , 49
-  Text: always @ ( posedge c ...
-enterNon_port_module_item
-  File: synfifo.v , 49
-  Text: always @ ( posedge c ...
-enterModule_or_generate_item
-  File: synfifo.v , 49
-  Text: always @ ( posedge c ...
-enterModule_common_item
-  File: synfifo.v , 49
-  Text: always @ ( posedge c ...
-enterAlways_construct
-  File: synfifo.v , 49
-  Text: always @ ( posedge c ...
-enterAlwaysKeywd_Always
-  File: synfifo.v , 49
-  Text: always  ...
-enterStatement
-  File: synfifo.v , 49
-  Text: @ ( posedge clk or p ...
-enterStatement_item
-  File: synfifo.v , 49
-  Text: @ ( posedge clk or p ...
-enterProcedural_timing_control_statement
-  File: synfifo.v , 49
-  Text: @ ( posedge clk or p ...
-enterProcedural_timing_control
-  File: synfifo.v , 49
-  Text: @ ( posedge clk or p ...
-enterEvent_control
-  File: synfifo.v , 49
-  Text: @ ( posedge clk or p ...
-enterEvent_expression
-  File: synfifo.v , 49
-  Text: posedge clk or posed ...
-enterEvent_expression
-  File: synfifo.v , 49
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: synfifo.v , 49
-  Text: posedge  ...
-enterExpression
-  File: synfifo.v , 49
-  Text: clk  ...
-enterPrimary
-  File: synfifo.v , 49
-  Text: clk  ...
-enterPrimary_literal
-  File: synfifo.v , 49
-  Text: clk  ...
-enterIdentifier
-  File: synfifo.v , 49
-  Text: clk  ...
-enterEvent_expression
-  File: synfifo.v , 49
-  Text: posedge rst  ...
-enterEdge_Posedge
-  File: synfifo.v , 49
-  Text: posedge  ...
-enterExpression
-  File: synfifo.v , 49
-  Text: rst  ...
-enterPrimary
-  File: synfifo.v , 49
-  Text: rst  ...
-enterPrimary_literal
-  File: synfifo.v , 49
-  Text: rst  ...
-enterIdentifier
-  File: synfifo.v , 49
-  Text: rst  ...
-enterStatement_or_null
-  File: synfifo.v , 50
-  Text: begin : WRITE_POINTE ...
-enterStatement
-  File: synfifo.v , 50
-  Text: begin : WRITE_POINTE ...
-enterStatement_item
-  File: synfifo.v , 50
-  Text: begin : WRITE_POINTE ...
-enterSeq_block
-  File: synfifo.v , 50
-  Text: begin : WRITE_POINTE ...
-enterIdentifier
-  File: synfifo.v , 50
-  Text: WRITE_POINTER  ...
-enterStatement_or_null
-  File: synfifo.v , 51
-  Text: if ( rst ) begin wr_ ...
-enterStatement
-  File: synfifo.v , 51
-  Text: if ( rst ) begin wr_ ...
-enterStatement_item
-  File: synfifo.v , 51
-  Text: if ( rst ) begin wr_ ...
-enterConditional_statement
-  File: synfifo.v , 51
-  Text: if ( rst ) begin wr_ ...
-enterCond_predicate
-  File: synfifo.v , 51
-  Text: rst  ...
-enterExpression_or_cond_pattern
-  File: synfifo.v , 51
-  Text: rst  ...
-enterExpression
-  File: synfifo.v , 51
-  Text: rst  ...
-enterPrimary
-  File: synfifo.v , 51
-  Text: rst  ...
-enterPrimary_literal
-  File: synfifo.v , 51
-  Text: rst  ...
-enterIdentifier
-  File: synfifo.v , 51
-  Text: rst  ...
-enterStatement_or_null
-  File: synfifo.v , 51
-  Text: begin wr_pointer <=  ...
-enterStatement
-  File: synfifo.v , 51
-  Text: begin wr_pointer <=  ...
-enterStatement_item
-  File: synfifo.v , 51
-  Text: begin wr_pointer <=  ...
-enterSeq_block
-  File: synfifo.v , 51
-  Text: begin wr_pointer <=  ...
-enterStatement_or_null
-  File: synfifo.v , 52
-  Text: wr_pointer <= 0 ;  ...
-enterStatement
-  File: synfifo.v , 52
-  Text: wr_pointer <= 0 ;  ...
-enterStatement_item
-  File: synfifo.v , 52
-  Text: wr_pointer <= 0 ;  ...
-enterNonblocking_assignment
-  File: synfifo.v , 52
-  Text: wr_pointer <= 0  ...
-enterVariable_lvalue
-  File: synfifo.v , 52
-  Text: wr_pointer  ...
-enterHierarchical_identifier
-  File: synfifo.v , 52
-  Text: wr_pointer  ...
-enterSelect
-  File: synfifo.v , 52
-  Text:  ...
-enterBit_select
-  File: synfifo.v , 52
-  Text:  ...
-enterExpression
-  File: synfifo.v , 52
-  Text: 0  ...
-enterPrimary
-  File: synfifo.v , 52
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 52
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 52
-  Text: 0  ...
-enterEnd
-  File: synfifo.v , 53
-  Text: end  ...
-enterCond_predicate
-  File: synfifo.v , 53
-  Text: wr_cs && wr_en  ...
-enterExpression_or_cond_pattern
-  File: synfifo.v , 53
-  Text: wr_cs && wr_en  ...
-enterExpression
-  File: synfifo.v , 53
-  Text: wr_cs && wr_en  ...
-enterExpression
-  File: synfifo.v , 53
-  Text: wr_cs  ...
-enterPrimary
-  File: synfifo.v , 53
-  Text: wr_cs  ...
-enterPrimary_literal
-  File: synfifo.v , 53
-  Text: wr_cs  ...
-enterIdentifier
-  File: synfifo.v , 53
-  Text: wr_cs  ...
-enterBinOp_LogicAnd
-  File: synfifo.v , 53
-  Text: &&  ...
-enterExpression
-  File: synfifo.v , 53
-  Text: wr_en  ...
-enterPrimary
-  File: synfifo.v , 53
-  Text: wr_en  ...
-enterPrimary_literal
-  File: synfifo.v , 53
-  Text: wr_en  ...
-enterIdentifier
-  File: synfifo.v , 53
-  Text: wr_en  ...
-enterStatement_or_null
-  File: synfifo.v , 53
-  Text: begin wr_pointer <=  ...
-enterStatement
-  File: synfifo.v , 53
-  Text: begin wr_pointer <=  ...
-enterStatement_item
-  File: synfifo.v , 53
-  Text: begin wr_pointer <=  ...
-enterSeq_block
-  File: synfifo.v , 53
-  Text: begin wr_pointer <=  ...
-enterStatement_or_null
-  File: synfifo.v , 54
-  Text: wr_pointer <= wr_poi ...
-enterStatement
-  File: synfifo.v , 54
-  Text: wr_pointer <= wr_poi ...
-enterStatement_item
-  File: synfifo.v , 54
-  Text: wr_pointer <= wr_poi ...
-enterNonblocking_assignment
-  File: synfifo.v , 54
-  Text: wr_pointer <= wr_poi ...
-enterVariable_lvalue
-  File: synfifo.v , 54
-  Text: wr_pointer  ...
-enterHierarchical_identifier
-  File: synfifo.v , 54
-  Text: wr_pointer  ...
-enterSelect
-  File: synfifo.v , 54
-  Text:  ...
-enterBit_select
-  File: synfifo.v , 54
-  Text:  ...
-enterExpression
-  File: synfifo.v , 54
-  Text: wr_pointer + 1  ...
-enterExpression
-  File: synfifo.v , 54
-  Text: wr_pointer  ...
-enterPrimary
-  File: synfifo.v , 54
-  Text: wr_pointer  ...
-enterPrimary_literal
-  File: synfifo.v , 54
-  Text: wr_pointer  ...
-enterIdentifier
-  File: synfifo.v , 54
-  Text: wr_pointer  ...
-enterBinOp_Plus
-  File: synfifo.v , 54
-  Text: +  ...
-enterExpression
-  File: synfifo.v , 54
-  Text: 1  ...
-enterPrimary
-  File: synfifo.v , 54
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 54
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 54
-  Text: 1  ...
-enterEnd
-  File: synfifo.v , 55
-  Text: end  ...
-enterEnd
-  File: synfifo.v , 56
-  Text: end  ...
-enterModule_item
-  File: synfifo.v , 58
-  Text: always @ ( posedge c ...
-enterNon_port_module_item
-  File: synfifo.v , 58
-  Text: always @ ( posedge c ...
-enterModule_or_generate_item
-  File: synfifo.v , 58
-  Text: always @ ( posedge c ...
-enterModule_common_item
-  File: synfifo.v , 58
-  Text: always @ ( posedge c ...
-enterAlways_construct
-  File: synfifo.v , 58
-  Text: always @ ( posedge c ...
-enterAlwaysKeywd_Always
-  File: synfifo.v , 58
-  Text: always  ...
-enterStatement
-  File: synfifo.v , 58
-  Text: @ ( posedge clk or p ...
-enterStatement_item
-  File: synfifo.v , 58
-  Text: @ ( posedge clk or p ...
-enterProcedural_timing_control_statement
-  File: synfifo.v , 58
-  Text: @ ( posedge clk or p ...
-enterProcedural_timing_control
-  File: synfifo.v , 58
-  Text: @ ( posedge clk or p ...
-enterEvent_control
-  File: synfifo.v , 58
-  Text: @ ( posedge clk or p ...
-enterEvent_expression
-  File: synfifo.v , 58
-  Text: posedge clk or posed ...
-enterEvent_expression
-  File: synfifo.v , 58
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: synfifo.v , 58
-  Text: posedge  ...
-enterExpression
-  File: synfifo.v , 58
-  Text: clk  ...
-enterPrimary
-  File: synfifo.v , 58
-  Text: clk  ...
-enterPrimary_literal
-  File: synfifo.v , 58
-  Text: clk  ...
-enterIdentifier
-  File: synfifo.v , 58
-  Text: clk  ...
-enterEvent_expression
-  File: synfifo.v , 58
-  Text: posedge rst  ...
-enterEdge_Posedge
-  File: synfifo.v , 58
-  Text: posedge  ...
-enterExpression
-  File: synfifo.v , 58
-  Text: rst  ...
-enterPrimary
-  File: synfifo.v , 58
-  Text: rst  ...
-enterPrimary_literal
-  File: synfifo.v , 58
-  Text: rst  ...
-enterIdentifier
-  File: synfifo.v , 58
-  Text: rst  ...
-enterStatement_or_null
-  File: synfifo.v , 59
-  Text: begin : READ_POINTER ...
-enterStatement
-  File: synfifo.v , 59
-  Text: begin : READ_POINTER ...
-enterStatement_item
-  File: synfifo.v , 59
-  Text: begin : READ_POINTER ...
-enterSeq_block
-  File: synfifo.v , 59
-  Text: begin : READ_POINTER ...
-enterIdentifier
-  File: synfifo.v , 59
-  Text: READ_POINTER  ...
-enterStatement_or_null
-  File: synfifo.v , 60
-  Text: if ( rst ) begin rd_ ...
-enterStatement
-  File: synfifo.v , 60
-  Text: if ( rst ) begin rd_ ...
-enterStatement_item
-  File: synfifo.v , 60
-  Text: if ( rst ) begin rd_ ...
-enterConditional_statement
-  File: synfifo.v , 60
-  Text: if ( rst ) begin rd_ ...
-enterCond_predicate
-  File: synfifo.v , 60
-  Text: rst  ...
-enterExpression_or_cond_pattern
-  File: synfifo.v , 60
-  Text: rst  ...
-enterExpression
-  File: synfifo.v , 60
-  Text: rst  ...
-enterPrimary
-  File: synfifo.v , 60
-  Text: rst  ...
-enterPrimary_literal
-  File: synfifo.v , 60
-  Text: rst  ...
-enterIdentifier
-  File: synfifo.v , 60
-  Text: rst  ...
-enterStatement_or_null
-  File: synfifo.v , 60
-  Text: begin rd_pointer <=  ...
-enterStatement
-  File: synfifo.v , 60
-  Text: begin rd_pointer <=  ...
-enterStatement_item
-  File: synfifo.v , 60
-  Text: begin rd_pointer <=  ...
-enterSeq_block
-  File: synfifo.v , 60
-  Text: begin rd_pointer <=  ...
-enterStatement_or_null
-  File: synfifo.v , 61
-  Text: rd_pointer <= 0 ;  ...
-enterStatement
-  File: synfifo.v , 61
-  Text: rd_pointer <= 0 ;  ...
-enterStatement_item
-  File: synfifo.v , 61
-  Text: rd_pointer <= 0 ;  ...
-enterNonblocking_assignment
-  File: synfifo.v , 61
-  Text: rd_pointer <= 0  ...
-enterVariable_lvalue
-  File: synfifo.v , 61
-  Text: rd_pointer  ...
-enterHierarchical_identifier
-  File: synfifo.v , 61
-  Text: rd_pointer  ...
-enterSelect
-  File: synfifo.v , 61
-  Text:  ...
-enterBit_select
-  File: synfifo.v , 61
-  Text:  ...
-enterExpression
-  File: synfifo.v , 61
-  Text: 0  ...
-enterPrimary
-  File: synfifo.v , 61
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 61
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 61
-  Text: 0  ...
-enterEnd
-  File: synfifo.v , 62
-  Text: end  ...
-enterCond_predicate
-  File: synfifo.v , 62
-  Text: rd_cs && rd_en  ...
-enterExpression_or_cond_pattern
-  File: synfifo.v , 62
-  Text: rd_cs && rd_en  ...
-enterExpression
-  File: synfifo.v , 62
-  Text: rd_cs && rd_en  ...
-enterExpression
-  File: synfifo.v , 62
-  Text: rd_cs  ...
-enterPrimary
-  File: synfifo.v , 62
-  Text: rd_cs  ...
-enterPrimary_literal
-  File: synfifo.v , 62
-  Text: rd_cs  ...
-enterIdentifier
-  File: synfifo.v , 62
-  Text: rd_cs  ...
-enterBinOp_LogicAnd
-  File: synfifo.v , 62
-  Text: &&  ...
-enterExpression
-  File: synfifo.v , 62
-  Text: rd_en  ...
-enterPrimary
-  File: synfifo.v , 62
-  Text: rd_en  ...
-enterPrimary_literal
-  File: synfifo.v , 62
-  Text: rd_en  ...
-enterIdentifier
-  File: synfifo.v , 62
-  Text: rd_en  ...
-enterStatement_or_null
-  File: synfifo.v , 62
-  Text: begin rd_pointer <=  ...
-enterStatement
-  File: synfifo.v , 62
-  Text: begin rd_pointer <=  ...
-enterStatement_item
-  File: synfifo.v , 62
-  Text: begin rd_pointer <=  ...
-enterSeq_block
-  File: synfifo.v , 62
-  Text: begin rd_pointer <=  ...
-enterStatement_or_null
-  File: synfifo.v , 63
-  Text: rd_pointer <= rd_poi ...
-enterStatement
-  File: synfifo.v , 63
-  Text: rd_pointer <= rd_poi ...
-enterStatement_item
-  File: synfifo.v , 63
-  Text: rd_pointer <= rd_poi ...
-enterNonblocking_assignment
-  File: synfifo.v , 63
-  Text: rd_pointer <= rd_poi ...
-enterVariable_lvalue
-  File: synfifo.v , 63
-  Text: rd_pointer  ...
-enterHierarchical_identifier
-  File: synfifo.v , 63
-  Text: rd_pointer  ...
-enterSelect
-  File: synfifo.v , 63
-  Text:  ...
-enterBit_select
-  File: synfifo.v , 63
-  Text:  ...
-enterExpression
-  File: synfifo.v , 63
-  Text: rd_pointer + 1  ...
-enterExpression
-  File: synfifo.v , 63
-  Text: rd_pointer  ...
-enterPrimary
-  File: synfifo.v , 63
-  Text: rd_pointer  ...
-enterPrimary_literal
-  File: synfifo.v , 63
-  Text: rd_pointer  ...
-enterIdentifier
-  File: synfifo.v , 63
-  Text: rd_pointer  ...
-enterBinOp_Plus
-  File: synfifo.v , 63
-  Text: +  ...
-enterExpression
-  File: synfifo.v , 63
-  Text: 1  ...
-enterPrimary
-  File: synfifo.v , 63
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 63
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 63
-  Text: 1  ...
-enterEnd
-  File: synfifo.v , 64
-  Text: end  ...
-enterEnd
-  File: synfifo.v , 65
-  Text: end  ...
-enterModule_item
-  File: synfifo.v , 67
-  Text: always @ ( posedge c ...
-enterNon_port_module_item
-  File: synfifo.v , 67
-  Text: always @ ( posedge c ...
-enterModule_or_generate_item
-  File: synfifo.v , 67
-  Text: always @ ( posedge c ...
-enterModule_common_item
-  File: synfifo.v , 67
-  Text: always @ ( posedge c ...
-enterAlways_construct
-  File: synfifo.v , 67
-  Text: always @ ( posedge c ...
-enterAlwaysKeywd_Always
-  File: synfifo.v , 67
-  Text: always  ...
-enterStatement
-  File: synfifo.v , 67
-  Text: @ ( posedge clk or p ...
-enterStatement_item
-  File: synfifo.v , 67
-  Text: @ ( posedge clk or p ...
-enterProcedural_timing_control_statement
-  File: synfifo.v , 67
-  Text: @ ( posedge clk or p ...
-enterProcedural_timing_control
-  File: synfifo.v , 67
-  Text: @ ( posedge clk or p ...
-enterEvent_control
-  File: synfifo.v , 67
-  Text: @ ( posedge clk or p ...
-enterEvent_expression
-  File: synfifo.v , 67
-  Text: posedge clk or posed ...
-enterEvent_expression
-  File: synfifo.v , 67
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: synfifo.v , 67
-  Text: posedge  ...
-enterExpression
-  File: synfifo.v , 67
-  Text: clk  ...
-enterPrimary
-  File: synfifo.v , 67
-  Text: clk  ...
-enterPrimary_literal
-  File: synfifo.v , 67
-  Text: clk  ...
-enterIdentifier
-  File: synfifo.v , 67
-  Text: clk  ...
-enterEvent_expression
-  File: synfifo.v , 67
-  Text: posedge rst  ...
-enterEdge_Posedge
-  File: synfifo.v , 67
-  Text: posedge  ...
-enterExpression
-  File: synfifo.v , 67
-  Text: rst  ...
-enterPrimary
-  File: synfifo.v , 67
-  Text: rst  ...
-enterPrimary_literal
-  File: synfifo.v , 67
-  Text: rst  ...
-enterIdentifier
-  File: synfifo.v , 67
-  Text: rst  ...
-enterStatement_or_null
-  File: synfifo.v , 68
-  Text: begin : READ_DATA if ...
-enterStatement
-  File: synfifo.v , 68
-  Text: begin : READ_DATA if ...
-enterStatement_item
-  File: synfifo.v , 68
-  Text: begin : READ_DATA if ...
-enterSeq_block
-  File: synfifo.v , 68
-  Text: begin : READ_DATA if ...
-enterIdentifier
-  File: synfifo.v , 68
-  Text: READ_DATA  ...
-enterStatement_or_null
-  File: synfifo.v , 69
-  Text: if ( rst ) begin dat ...
-enterStatement
-  File: synfifo.v , 69
-  Text: if ( rst ) begin dat ...
-enterStatement_item
-  File: synfifo.v , 69
-  Text: if ( rst ) begin dat ...
-enterConditional_statement
-  File: synfifo.v , 69
-  Text: if ( rst ) begin dat ...
-enterCond_predicate
-  File: synfifo.v , 69
-  Text: rst  ...
-enterExpression_or_cond_pattern
-  File: synfifo.v , 69
-  Text: rst  ...
-enterExpression
-  File: synfifo.v , 69
-  Text: rst  ...
-enterPrimary
-  File: synfifo.v , 69
-  Text: rst  ...
-enterPrimary_literal
-  File: synfifo.v , 69
-  Text: rst  ...
-enterIdentifier
-  File: synfifo.v , 69
-  Text: rst  ...
-enterStatement_or_null
-  File: synfifo.v , 69
-  Text: begin data_out <= 0  ...
-enterStatement
-  File: synfifo.v , 69
-  Text: begin data_out <= 0  ...
-enterStatement_item
-  File: synfifo.v , 69
-  Text: begin data_out <= 0  ...
-enterSeq_block
-  File: synfifo.v , 69
-  Text: begin data_out <= 0  ...
-enterStatement_or_null
-  File: synfifo.v , 70
-  Text: data_out <= 0 ;  ...
-enterStatement
-  File: synfifo.v , 70
-  Text: data_out <= 0 ;  ...
-enterStatement_item
-  File: synfifo.v , 70
-  Text: data_out <= 0 ;  ...
-enterNonblocking_assignment
-  File: synfifo.v , 70
-  Text: data_out <= 0  ...
-enterVariable_lvalue
-  File: synfifo.v , 70
-  Text: data_out  ...
-enterHierarchical_identifier
-  File: synfifo.v , 70
-  Text: data_out  ...
-enterSelect
-  File: synfifo.v , 70
-  Text:  ...
-enterBit_select
-  File: synfifo.v , 70
-  Text:  ...
-enterExpression
-  File: synfifo.v , 70
-  Text: 0  ...
-enterPrimary
-  File: synfifo.v , 70
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 70
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 70
-  Text: 0  ...
-enterEnd
-  File: synfifo.v , 71
-  Text: end  ...
-enterCond_predicate
-  File: synfifo.v , 71
-  Text: rd_cs && rd_en  ...
-enterExpression_or_cond_pattern
-  File: synfifo.v , 71
-  Text: rd_cs && rd_en  ...
-enterExpression
-  File: synfifo.v , 71
-  Text: rd_cs && rd_en  ...
-enterExpression
-  File: synfifo.v , 71
-  Text: rd_cs  ...
-enterPrimary
-  File: synfifo.v , 71
-  Text: rd_cs  ...
-enterPrimary_literal
-  File: synfifo.v , 71
-  Text: rd_cs  ...
-enterIdentifier
-  File: synfifo.v , 71
-  Text: rd_cs  ...
-enterBinOp_LogicAnd
-  File: synfifo.v , 71
-  Text: &&  ...
-enterExpression
-  File: synfifo.v , 71
-  Text: rd_en  ...
-enterPrimary
-  File: synfifo.v , 71
-  Text: rd_en  ...
-enterPrimary_literal
-  File: synfifo.v , 71
-  Text: rd_en  ...
-enterIdentifier
-  File: synfifo.v , 71
-  Text: rd_en  ...
-enterStatement_or_null
-  File: synfifo.v , 71
-  Text: begin data_out <= da ...
-enterStatement
-  File: synfifo.v , 71
-  Text: begin data_out <= da ...
-enterStatement_item
-  File: synfifo.v , 71
-  Text: begin data_out <= da ...
-enterSeq_block
-  File: synfifo.v , 71
-  Text: begin data_out <= da ...
-enterStatement_or_null
-  File: synfifo.v , 72
-  Text: data_out <= data_ram ...
-enterStatement
-  File: synfifo.v , 72
-  Text: data_out <= data_ram ...
-enterStatement_item
-  File: synfifo.v , 72
-  Text: data_out <= data_ram ...
-enterNonblocking_assignment
-  File: synfifo.v , 72
-  Text: data_out <= data_ram ...
-enterVariable_lvalue
-  File: synfifo.v , 72
-  Text: data_out  ...
-enterHierarchical_identifier
-  File: synfifo.v , 72
-  Text: data_out  ...
-enterSelect
-  File: synfifo.v , 72
-  Text:  ...
-enterBit_select
-  File: synfifo.v , 72
-  Text:  ...
-enterExpression
-  File: synfifo.v , 72
-  Text: data_ram  ...
-enterPrimary
-  File: synfifo.v , 72
-  Text: data_ram  ...
-enterPrimary_literal
-  File: synfifo.v , 72
-  Text: data_ram  ...
-enterIdentifier
-  File: synfifo.v , 72
-  Text: data_ram  ...
-enterEnd
-  File: synfifo.v , 73
-  Text: end  ...
-enterEnd
-  File: synfifo.v , 74
-  Text: end  ...
-enterModule_item
-  File: synfifo.v , 76
-  Text: always @ ( posedge c ...
-enterNon_port_module_item
-  File: synfifo.v , 76
-  Text: always @ ( posedge c ...
-enterModule_or_generate_item
-  File: synfifo.v , 76
-  Text: always @ ( posedge c ...
-enterModule_common_item
-  File: synfifo.v , 76
-  Text: always @ ( posedge c ...
-enterAlways_construct
-  File: synfifo.v , 76
-  Text: always @ ( posedge c ...
-enterAlwaysKeywd_Always
-  File: synfifo.v , 76
-  Text: always  ...
-enterStatement
-  File: synfifo.v , 76
-  Text: @ ( posedge clk or p ...
-enterStatement_item
-  File: synfifo.v , 76
-  Text: @ ( posedge clk or p ...
-enterProcedural_timing_control_statement
-  File: synfifo.v , 76
-  Text: @ ( posedge clk or p ...
-enterProcedural_timing_control
-  File: synfifo.v , 76
-  Text: @ ( posedge clk or p ...
-enterEvent_control
-  File: synfifo.v , 76
-  Text: @ ( posedge clk or p ...
-enterEvent_expression
-  File: synfifo.v , 76
-  Text: posedge clk or posed ...
-enterEvent_expression
-  File: synfifo.v , 76
-  Text: posedge clk  ...
-enterEdge_Posedge
-  File: synfifo.v , 76
-  Text: posedge  ...
-enterExpression
-  File: synfifo.v , 76
-  Text: clk  ...
-enterPrimary
-  File: synfifo.v , 76
-  Text: clk  ...
-enterPrimary_literal
-  File: synfifo.v , 76
-  Text: clk  ...
-enterIdentifier
-  File: synfifo.v , 76
-  Text: clk  ...
-enterEvent_expression
-  File: synfifo.v , 76
-  Text: posedge rst  ...
-enterEdge_Posedge
-  File: synfifo.v , 76
-  Text: posedge  ...
-enterExpression
-  File: synfifo.v , 76
-  Text: rst  ...
-enterPrimary
-  File: synfifo.v , 76
-  Text: rst  ...
-enterPrimary_literal
-  File: synfifo.v , 76
-  Text: rst  ...
-enterIdentifier
-  File: synfifo.v , 76
-  Text: rst  ...
-enterStatement_or_null
-  File: synfifo.v , 77
-  Text: begin : STATUS_COUNT ...
-enterStatement
-  File: synfifo.v , 77
-  Text: begin : STATUS_COUNT ...
-enterStatement_item
-  File: synfifo.v , 77
-  Text: begin : STATUS_COUNT ...
-enterSeq_block
-  File: synfifo.v , 77
-  Text: begin : STATUS_COUNT ...
-enterIdentifier
-  File: synfifo.v , 77
-  Text: STATUS_COUNTER  ...
-enterStatement_or_null
-  File: synfifo.v , 78
-  Text: if ( rst ) begin sta ...
-enterStatement
-  File: synfifo.v , 78
-  Text: if ( rst ) begin sta ...
-enterStatement_item
-  File: synfifo.v , 78
-  Text: if ( rst ) begin sta ...
-enterConditional_statement
-  File: synfifo.v , 78
-  Text: if ( rst ) begin sta ...
-enterCond_predicate
-  File: synfifo.v , 78
-  Text: rst  ...
-enterExpression_or_cond_pattern
-  File: synfifo.v , 78
-  Text: rst  ...
-enterExpression
-  File: synfifo.v , 78
-  Text: rst  ...
-enterPrimary
-  File: synfifo.v , 78
-  Text: rst  ...
-enterPrimary_literal
-  File: synfifo.v , 78
-  Text: rst  ...
-enterIdentifier
-  File: synfifo.v , 78
-  Text: rst  ...
-enterStatement_or_null
-  File: synfifo.v , 78
-  Text: begin status_cnt <=  ...
-enterStatement
-  File: synfifo.v , 78
-  Text: begin status_cnt <=  ...
-enterStatement_item
-  File: synfifo.v , 78
-  Text: begin status_cnt <=  ...
-enterSeq_block
-  File: synfifo.v , 78
-  Text: begin status_cnt <=  ...
-enterStatement_or_null
-  File: synfifo.v , 79
-  Text: status_cnt <= 0 ;  ...
-enterStatement
-  File: synfifo.v , 79
-  Text: status_cnt <= 0 ;  ...
-enterStatement_item
-  File: synfifo.v , 79
-  Text: status_cnt <= 0 ;  ...
-enterNonblocking_assignment
-  File: synfifo.v , 79
-  Text: status_cnt <= 0  ...
-enterVariable_lvalue
-  File: synfifo.v , 79
-  Text: status_cnt  ...
-enterHierarchical_identifier
-  File: synfifo.v , 79
-  Text: status_cnt  ...
-enterSelect
-  File: synfifo.v , 79
-  Text:  ...
-enterBit_select
-  File: synfifo.v , 79
-  Text:  ...
-enterExpression
-  File: synfifo.v , 79
-  Text: 0  ...
-enterPrimary
-  File: synfifo.v , 79
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 79
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 79
-  Text: 0  ...
-enterEnd
-  File: synfifo.v , 81
-  Text: end  ...
-enterCond_predicate
-  File: synfifo.v , 81
-  Text: ( rd_cs && rd_en ) & ...
-enterExpression_or_cond_pattern
-  File: synfifo.v , 81
-  Text: ( rd_cs && rd_en ) & ...
-enterExpression
-  File: synfifo.v , 81
-  Text: ( rd_cs && rd_en ) & ...
-enterExpression
-  File: synfifo.v , 81
-  Text: ( rd_cs && rd_en ) & ...
-enterExpression
-  File: synfifo.v , 81
-  Text: ( rd_cs && rd_en )  ...
-enterPrimary
-  File: synfifo.v , 81
-  Text: ( rd_cs && rd_en )  ...
-enterMintypmax_expression
-  File: synfifo.v , 81
-  Text: rd_cs && rd_en  ...
-enterExpression
-  File: synfifo.v , 81
-  Text: rd_cs && rd_en  ...
-enterExpression
-  File: synfifo.v , 81
-  Text: rd_cs  ...
-enterPrimary
-  File: synfifo.v , 81
-  Text: rd_cs  ...
-enterPrimary_literal
-  File: synfifo.v , 81
-  Text: rd_cs  ...
-enterIdentifier
-  File: synfifo.v , 81
-  Text: rd_cs  ...
-enterBinOp_LogicAnd
-  File: synfifo.v , 81
-  Text: &&  ...
-enterExpression
-  File: synfifo.v , 81
-  Text: rd_en  ...
-enterPrimary
-  File: synfifo.v , 81
-  Text: rd_en  ...
-enterPrimary_literal
-  File: synfifo.v , 81
-  Text: rd_en  ...
-enterIdentifier
-  File: synfifo.v , 81
-  Text: rd_en  ...
-enterBinOp_LogicAnd
-  File: synfifo.v , 81
-  Text: &&  ...
-enterExpression
-  File: synfifo.v , 81
-  Text: ! ( wr_cs && wr_en ) ...
-enterUnary_Not
-  File: synfifo.v , 81
-  Text: !  ...
-enterPrimary
-  File: synfifo.v , 81
-  Text: ( wr_cs && wr_en )  ...
-enterMintypmax_expression
-  File: synfifo.v , 81
-  Text: wr_cs && wr_en  ...
-enterExpression
-  File: synfifo.v , 81
-  Text: wr_cs && wr_en  ...
-enterExpression
-  File: synfifo.v , 81
-  Text: wr_cs  ...
-enterPrimary
-  File: synfifo.v , 81
-  Text: wr_cs  ...
-enterPrimary_literal
-  File: synfifo.v , 81
-  Text: wr_cs  ...
-enterIdentifier
-  File: synfifo.v , 81
-  Text: wr_cs  ...
-enterBinOp_LogicAnd
-  File: synfifo.v , 81
-  Text: &&  ...
-enterExpression
-  File: synfifo.v , 81
-  Text: wr_en  ...
-enterPrimary
-  File: synfifo.v , 81
-  Text: wr_en  ...
-enterPrimary_literal
-  File: synfifo.v , 81
-  Text: wr_en  ...
-enterIdentifier
-  File: synfifo.v , 81
-  Text: wr_en  ...
-enterBinOp_LogicAnd
-  File: synfifo.v , 82
-  Text: &&  ...
-enterExpression
-  File: synfifo.v , 82
-  Text: ( status_cnt != 0 )  ...
-enterPrimary
-  File: synfifo.v , 82
-  Text: ( status_cnt != 0 )  ...
-enterMintypmax_expression
-  File: synfifo.v , 82
-  Text: status_cnt != 0  ...
-enterExpression
-  File: synfifo.v , 82
-  Text: status_cnt != 0  ...
-enterExpression
-  File: synfifo.v , 82
-  Text: status_cnt  ...
-enterPrimary
-  File: synfifo.v , 82
-  Text: status_cnt  ...
-enterPrimary_literal
-  File: synfifo.v , 82
-  Text: status_cnt  ...
-enterIdentifier
-  File: synfifo.v , 82
-  Text: status_cnt  ...
-enterBinOp_Not
-  File: synfifo.v , 82
-  Text: !=  ...
-enterExpression
-  File: synfifo.v , 82
-  Text: 0  ...
-enterPrimary
-  File: synfifo.v , 82
-  Text: 0  ...
-enterPrimary_literal
-  File: synfifo.v , 82
-  Text: 0  ...
-enterNumber_Integral
-  File: synfifo.v , 82
-  Text: 0  ...
-enterStatement_or_null
-  File: synfifo.v , 82
-  Text: begin status_cnt <=  ...
-enterStatement
-  File: synfifo.v , 82
-  Text: begin status_cnt <=  ...
-enterStatement_item
-  File: synfifo.v , 82
-  Text: begin status_cnt <=  ...
-enterSeq_block
-  File: synfifo.v , 82
-  Text: begin status_cnt <=  ...
-enterStatement_or_null
-  File: synfifo.v , 83
-  Text: status_cnt <= status ...
-enterStatement
-  File: synfifo.v , 83
-  Text: status_cnt <= status ...
-enterStatement_item
-  File: synfifo.v , 83
-  Text: status_cnt <= status ...
-enterNonblocking_assignment
-  File: synfifo.v , 83
-  Text: status_cnt <= status ...
-enterVariable_lvalue
-  File: synfifo.v , 83
-  Text: status_cnt  ...
-enterHierarchical_identifier
-  File: synfifo.v , 83
-  Text: status_cnt  ...
-enterSelect
-  File: synfifo.v , 83
-  Text:  ...
-enterBit_select
-  File: synfifo.v , 83
-  Text:  ...
-enterExpression
-  File: synfifo.v , 83
-  Text: status_cnt - 1  ...
-enterExpression
-  File: synfifo.v , 83
-  Text: status_cnt  ...
-enterPrimary
-  File: synfifo.v , 83
-  Text: status_cnt  ...
-enterPrimary_literal
-  File: synfifo.v , 83
-  Text: status_cnt  ...
-enterIdentifier
-  File: synfifo.v , 83
-  Text: status_cnt  ...
-enterBinOp_Minus
-  File: synfifo.v , 83
-  Text: -  ...
-enterExpression
-  File: synfifo.v , 83
-  Text: 1  ...
-enterPrimary
-  File: synfifo.v , 83
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 83
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 83
-  Text: 1  ...
-enterEnd
-  File: synfifo.v , 85
-  Text: end  ...
-enterCond_predicate
-  File: synfifo.v , 85
-  Text: ( wr_cs && wr_en ) & ...
-enterExpression_or_cond_pattern
-  File: synfifo.v , 85
-  Text: ( wr_cs && wr_en ) & ...
-enterExpression
-  File: synfifo.v , 85
-  Text: ( wr_cs && wr_en ) & ...
-enterExpression
-  File: synfifo.v , 85
-  Text: ( wr_cs && wr_en ) & ...
-enterExpression
-  File: synfifo.v , 85
-  Text: ( wr_cs && wr_en )  ...
-enterPrimary
-  File: synfifo.v , 85
-  Text: ( wr_cs && wr_en )  ...
-enterMintypmax_expression
-  File: synfifo.v , 85
-  Text: wr_cs && wr_en  ...
-enterExpression
-  File: synfifo.v , 85
-  Text: wr_cs && wr_en  ...
-enterExpression
-  File: synfifo.v , 85
-  Text: wr_cs  ...
-enterPrimary
-  File: synfifo.v , 85
-  Text: wr_cs  ...
-enterPrimary_literal
-  File: synfifo.v , 85
-  Text: wr_cs  ...
-enterIdentifier
-  File: synfifo.v , 85
-  Text: wr_cs  ...
-enterBinOp_LogicAnd
-  File: synfifo.v , 85
-  Text: &&  ...
-enterExpression
-  File: synfifo.v , 85
-  Text: wr_en  ...
-enterPrimary
-  File: synfifo.v , 85
-  Text: wr_en  ...
-enterPrimary_literal
-  File: synfifo.v , 85
-  Text: wr_en  ...
-enterIdentifier
-  File: synfifo.v , 85
-  Text: wr_en  ...
-enterBinOp_LogicAnd
-  File: synfifo.v , 85
-  Text: &&  ...
-enterExpression
-  File: synfifo.v , 85
-  Text: ! ( rd_cs && rd_en ) ...
-enterUnary_Not
-  File: synfifo.v , 85
-  Text: !  ...
-enterPrimary
-  File: synfifo.v , 85
-  Text: ( rd_cs && rd_en )  ...
-enterMintypmax_expression
-  File: synfifo.v , 85
-  Text: rd_cs && rd_en  ...
-enterExpression
-  File: synfifo.v , 85
-  Text: rd_cs && rd_en  ...
-enterExpression
-  File: synfifo.v , 85
-  Text: rd_cs  ...
-enterPrimary
-  File: synfifo.v , 85
-  Text: rd_cs  ...
-enterPrimary_literal
-  File: synfifo.v , 85
-  Text: rd_cs  ...
-enterIdentifier
-  File: synfifo.v , 85
-  Text: rd_cs  ...
-enterBinOp_LogicAnd
-  File: synfifo.v , 85
-  Text: &&  ...
-enterExpression
-  File: synfifo.v , 85
-  Text: rd_en  ...
-enterPrimary
-  File: synfifo.v , 85
-  Text: rd_en  ...
-enterPrimary_literal
-  File: synfifo.v , 85
-  Text: rd_en  ...
-enterIdentifier
-  File: synfifo.v , 85
-  Text: rd_en  ...
-enterBinOp_LogicAnd
-  File: synfifo.v , 86
-  Text: &&  ...
-enterExpression
-  File: synfifo.v , 86
-  Text: ( status_cnt != RAM_ ...
-enterPrimary
-  File: synfifo.v , 86
-  Text: ( status_cnt != RAM_ ...
-enterMintypmax_expression
-  File: synfifo.v , 86
-  Text: status_cnt != RAM_DE ...
-enterExpression
-  File: synfifo.v , 86
-  Text: status_cnt != RAM_DE ...
-enterExpression
-  File: synfifo.v , 86
-  Text: status_cnt  ...
-enterPrimary
-  File: synfifo.v , 86
-  Text: status_cnt  ...
-enterPrimary_literal
-  File: synfifo.v , 86
-  Text: status_cnt  ...
-enterIdentifier
-  File: synfifo.v , 86
-  Text: status_cnt  ...
-enterBinOp_Not
-  File: synfifo.v , 86
-  Text: !=  ...
-enterExpression
-  File: synfifo.v , 86
-  Text: RAM_DEPTH  ...
-enterPrimary
-  File: synfifo.v , 86
-  Text: RAM_DEPTH  ...
-enterPrimary_literal
-  File: synfifo.v , 86
-  Text: RAM_DEPTH  ...
-enterIdentifier
-  File: synfifo.v , 86
-  Text: RAM_DEPTH  ...
-enterStatement_or_null
-  File: synfifo.v , 86
-  Text: begin status_cnt <=  ...
-enterStatement
-  File: synfifo.v , 86
-  Text: begin status_cnt <=  ...
-enterStatement_item
-  File: synfifo.v , 86
-  Text: begin status_cnt <=  ...
-enterSeq_block
-  File: synfifo.v , 86
-  Text: begin status_cnt <=  ...
-enterStatement_or_null
-  File: synfifo.v , 87
-  Text: status_cnt <= status ...
-enterStatement
-  File: synfifo.v , 87
-  Text: status_cnt <= status ...
-enterStatement_item
-  File: synfifo.v , 87
-  Text: status_cnt <= status ...
-enterNonblocking_assignment
-  File: synfifo.v , 87
-  Text: status_cnt <= status ...
-enterVariable_lvalue
-  File: synfifo.v , 87
-  Text: status_cnt  ...
-enterHierarchical_identifier
-  File: synfifo.v , 87
-  Text: status_cnt  ...
-enterSelect
-  File: synfifo.v , 87
-  Text:  ...
-enterBit_select
-  File: synfifo.v , 87
-  Text:  ...
-enterExpression
-  File: synfifo.v , 87
-  Text: status_cnt + 1  ...
-enterExpression
-  File: synfifo.v , 87
-  Text: status_cnt  ...
-enterPrimary
-  File: synfifo.v , 87
-  Text: status_cnt  ...
-enterPrimary_literal
-  File: synfifo.v , 87
-  Text: status_cnt  ...
-enterIdentifier
-  File: synfifo.v , 87
-  Text: status_cnt  ...
-enterBinOp_Plus
-  File: synfifo.v , 87
-  Text: +  ...
-enterExpression
-  File: synfifo.v , 87
-  Text: 1  ...
-enterPrimary
-  File: synfifo.v , 87
-  Text: 1  ...
-enterPrimary_literal
-  File: synfifo.v , 87
-  Text: 1  ...
-enterNumber_Integral
-  File: synfifo.v , 87
-  Text: 1  ...
-enterEnd
-  File: synfifo.v , 88
-  Text: end  ...
-enterEnd
-  File: synfifo.v , 89
-  Text: end  ...
-enterModule_item
-  File: synfifo.v , 91
-  Text: ram_dp_ar_aw # ( DAT ...
-enterNon_port_module_item
-  File: synfifo.v , 91
-  Text: ram_dp_ar_aw # ( DAT ...
-enterModule_or_generate_item
-  File: synfifo.v , 91
-  Text: ram_dp_ar_aw # ( DAT ...
-enterModule_instantiation
-  File: synfifo.v , 91
-  Text: ram_dp_ar_aw # ( DAT ...
-enterIdentifier
-  File: synfifo.v , 91
-  Text: ram_dp_ar_aw  ...
-enterParameter_value_assignment
-  File: synfifo.v , 91
-  Text: # ( DATA_WIDTH , ADD ...
-enterList_of_parameter_assignments
-  File: synfifo.v , 91
-  Text: DATA_WIDTH , ADDR_WI ...
-enterOrdered_parameter_assignment
-  File: synfifo.v , 91
-  Text: DATA_WIDTH  ...
-enterParam_expression
-  File: synfifo.v , 91
-  Text: DATA_WIDTH  ...
-enterMintypmax_expression
-  File: synfifo.v , 91
-  Text: DATA_WIDTH  ...
-enterExpression[INFO :PY0400] Processing source file "top.v".
-
-[INFO :PY0400] Processing source file "uart.v".
-
-
-  File: synfifo.v , 91
-  Text: DATA_WIDTH  ...
-enterPrimary
-  File: synfifo.v , 91
-  Text: DATA_WIDTH  ...
-enterPrimary_literal
-  File: synfifo.v , 91
-  Text: DATA_WIDTH  ...
-enterIdentifier
-  File: synfifo.v , 91
-  Text: DATA_WIDTH  ...
-enterOrdered_parameter_assignment
-  File: synfifo.v , 91
-  Text: ADDR_WIDTH  ...
-enterParam_expression
-  File: synfifo.v , 91
-  Text: ADDR_WIDTH  ...
-enterMintypmax_expression
-  File: synfifo.v , 91
-  Text: ADDR_WIDTH  ...
-enterExpression
-  File: synfifo.v , 91
-  Text: ADDR_WIDTH  ...
-enterPrimary
-  File: synfifo.v , 91
-  Text: ADDR_WIDTH  ...
-enterPrimary_literal
-  File: synfifo.v , 91
-  Text: ADDR_WIDTH  ...
-enterIdentifier
-  File: synfifo.v , 91
-  Text: ADDR_WIDTH  ...
-enterHierarchical_instance
-  File: synfifo.v , 91
-  Text: DP_RAM ( . address_0 ...
-enterName_of_instance
-  File: synfifo.v , 91
-  Text: DP_RAM  ...
-enterIdentifier
-  File: synfifo.v , 91
-  Text: DP_RAM  ...
-enterList_of_port_connections
-  File: synfifo.v , 92
-  Text: . address_0 ( wr_poi ...
-enterNamed_port_connection
-  File: synfifo.v , 92
-  Text: . address_0 ( wr_poi ...
-enterIdentifier
-  File: synfifo.v , 92
-  Text: address_0  ...
-enterExpression
-  File: synfifo.v , 92
-  Text: wr_pointer  ...
-enterPrimary
-  File: synfifo.v , 92
-  Text: wr_pointer  ...
-enterPrimary_literal
-  File: synfifo.v , 92
-  Text: wr_pointer  ...
-enterIdentifier
-  File: synfifo.v , 92
-  Text: wr_pointer  ...
-enterNamed_port_connection
-  File: synfifo.v , 93
-  Text: . data_0 ( data_in ) ...
-enterIdentifier
-  File: synfifo.v , 93
-  Text: data_0  ...
-enterExpression
-  File: synfifo.v , 93
-  Text: data_in  ...
-enterPrimary
-  File: synfifo.v , 93
-  Text: data_in  ...
-enterPrimary_literal
-  File: synfifo.v , 93
-  Text: data_in  ...
-enterIdentifier
-  File: synfifo.v , 93
-  Text: data_in  ...
-enterNamed_port_connection
-  File: synfifo.v , 94
-  Text: . cs_0 ( wr_cs )  ...
-enterIdentifier
-  File: synfifo.v , 94
-  Text: cs_0  ...
-enterExpression
-  File: synfifo.v , 94
-  Text: wr_cs  ...
-enterPrimary
-  File: synfifo.v , 94
-  Text: wr_cs  ...
-enterPrimary_literal
-  File: synfifo.v , 94
-  Text: wr_cs  ...
-enterIdentifier
-  File: synfifo.v , 94
-  Text: wr_cs  ...
-enterNamed_port_connection
-  File: synfifo.v , 95
-  Text: . we_0 ( wr_en )  ...
-enterIdentifier
-  File: synfifo.v , 95
-  Text: we_0  ...
-enterExpression
-  File: synfifo.v , 95
-  Text: wr_en  ...
-enterPrimary
-  File: synfifo.v , 95
-  Text: wr_en  ...
-enterPrimary_literal
-  File: synfifo.v , 95
-  Text: wr_en  ...
-enterIdentifier
-  File: synfifo.v , 95
-  Text: wr_en  ...
-enterNamed_port_connection
-  File: synfifo.v , 96
-  Text: . oe_0 ( 1'b0 )  ...
-enterIdentifier
-  File: synfifo.v , 96
-  Text: oe_0  ...
-enterExpression
-  File: synfifo.v , 96
-  Text: 1'b0  ...
-enterPrimary
-  File: synfifo.v , 96
-  Text: 1'b0  ...
-enterPrimary_literal
-  File: synfifo.v , 96
-  Text: 1'b0  ...
-enterNumber_1Tickb0
-  File: synfifo.v , 96
-  Text: 1'b0  ...
-enterNamed_port_connection
-  File: synfifo.v , 97
-  Text: . address_1 ( rd_poi ...
-enterIdentifier
-  File: synfifo.v , 97
-  Text: address_1  ...
-enterExpression
-  File: synfifo.v , 97
-  Text: rd_pointer  ...
-enterPrimary
-  File: synfifo.v , 97
-  Text: rd_pointer  ...
-enterPrimary_literal
-  File: synfifo.v , 97
-  Text: rd_pointer  ...
-enterIdentifier
-  File: synfifo.v , 97
-  Text: rd_pointer  ...
-enterNamed_port_connection
-  File: synfifo.v , 98
-  Text: . data_1 ( data_ram  ...
-enterIdentifier
-  File: synfifo.v , 98
-  Text: data_1  ...
-enterExpression
-  File: synfifo.v , 98
-  Text: data_ram  ...
-enterPrimary
-  File: synfifo.v , 98
-  Text: data_ram  ...
-enterPrimary_literal
-  File: synfifo.v , 98
-  Text: data_ram  ...
-enterIdentifier
-  File: synfifo.v , 98
-  Text: data_ram  ...
-enterNamed_port_connection
-  File: synfifo.v , 99
-  Text: . cs_1 ( rd_cs )  ...
-enterIdentifier
-  File: synfifo.v , 99
-  Text: cs_1  ...
-enterExpression
-  File: synfifo.v , 99
-  Text: rd_cs  ...
-enterPrimary
-  File: synfifo.v , 99
-  Text: rd_cs  ...
-enterPrimary_literal
-  File: synfifo.v , 99
-  Text: rd_cs  ...
-enterIdentifier
-  File: synfifo.v , 99
-  Text: rd_cs  ...
-enterNamed_port_connection
-  File: synfifo.v , 100
-  Text: . we_1 ( 1'b0 )  ...
-enterIdentifier
-  File: synfifo.v , 100
-  Text: we_1  ...
-enterExpression
-  File: synfifo.v , 100
-  Text: 1'b0  ...
-enterPrimary
-  File: synfifo.v , 100
-  Text: 1'b0  ...
-enterPrimary_literal
-  File: synfifo.v , 100
-  Text: 1'b0  ...
-enterNumber_1Tickb0
-  File: synfifo.v , 100
-  Text: 1'b0  ...
-enterNamed_port_connection
-  File: synfifo.v , 101
-  Text: . oe_1 ( rd_en )  ...
-enterIdentifier
-  File: synfifo.v , 101
-  Text: oe_1  ...
-enterExpression
-  File: synfifo.v , 101
-  Text: rd_en  ...
-enterPrimary
-  File: synfifo.v , 101
-  Text: rd_en  ...
-enterPrimary_literal
-  File: synfifo.v , 101
-  Text: rd_en  ...
-enterIdentifier
-  File: synfifo.v , 101
-  Text: rd_en  ...
-enterEndmodule
-  File: synfifo.v , 104
-  Text: endmodule  ...
-enterTop_level_rule
-  File: top.v , 1
-  Text: <EOF>  ...
-enterNull_rule
-  File: top.v , 1
-  Text:  ...
-enterSource_text
-  File: top.v , 1
-  Text:  ...
-enterTop_level_rule
-  File: uart.v , 8
-  Text: module uart ( reset  ...
-enterNull_rule
-  File: uart.v , 8
-  Text:  ...
-enterSource_text
-  File: uart.v , 8
-  Text: module uart ( reset  ...
-enterDescription
-  File: uart.v , 8
-  Text: module uart ( reset  ...
-enterModule_declaration
-  File: uart.v , 8
-  Text: module uart ( reset  ...
-enterModule_nonansi_header
-  File: uart.v , 8
-  Text: module uart ( reset  ...
-enterModule_keyword
-  File: uart.v , 8
-  Text: module  ...
-enterIdentifier
-  File: uart.v , 8
-  Text: uart  ...
-enterList_of_ports
-  File: uart.v , 8
-  Text: ( reset , txclk , ld ...
-enterPort
-  File: uart.v , 9
-  Text: reset  ...
-enterPort_expression
-  File: uart.v , 9
-  Text: reset  ...
-enterPort_reference
-  File: uart.v , 9
-  Text: reset  ...
-enterIdentifier
-  File: uart.v , 9
-  Text: reset  ...
-enterConstant_select
-  File: uart.v , 9
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 9
-  Text:  ...
-enterPort
-  File: uart.v , 10
-  Text: txclk  ...
-enterPort_expression
-  File: uart.v , 10
-  Text: txclk  ...
-enterPort_reference
-  File: uart.v , 10
-  Text: txclk  ...
-enterIdentifier
-  File: uart.v , 10
-  Text: txclk  ...
-enterConstant_select
-  File: uart.v , 10
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 10
-  Text:  ...
-enterPort
-  File: uart.v , 11
-  Text: ld_tx_data  ...
-enterPort_expression
-  File: uart.v , 11
-  Text: ld_tx_data  ...
-enterPort_reference
-  File: uart.v , 11
-  Text: ld_tx_data  ...
-enterIdentifier
-  File: uart.v , 11
-  Text: ld_tx_data  ...
-enterConstant_select
-  File: uart.v , 11
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 11
-  Text:  ...
-enterPort
-  File: uart.v , 12
-  Text: tx_data  ...
-enterPort_expression
-  File: uart.v , 12
-  Text: tx_data  ...
-enterPort_reference
-  File: uart.v , 12
-  Text: tx_data  ...
-enterIdentifier
-  File: uart.v , 12
-  Text: tx_data  ...
-enterConstant_select
-  File: uart.v , 12
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 12
-  Text:  ...
-enterPort
-  File: uart.v , 13
-  Text: tx_enable  ...
-enterPort_expression
-  File: uart.v , 13
-  Text: tx_enable  ...
-enterPort_reference
-  File: uart.v , 13
-  Text: tx_enable  ...
-enterIdentifier
-  File: uart.v , 13
-  Text: tx_enable  ...
-enterConstant_select
-  File: uart.v , 13
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 13
-  Text:  ...
-enterPort
-  File: uart.v , 14
-  Text: tx_out  ...
-enterPort_expression
-  File: uart.v , 14
-  Text: tx_out  ...
-enterPort_reference
-  File: uart.v , 14
-  Text: tx_out  ...
-enterIdentifier
-  File: uart.v , 14
-  Text: tx_out  ...
-enterConstant_select
-  File: uart.v , 14
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 14
-  Text:  ...
-enterPort
-  File: uart.v , 15
-  Text: tx_empty  ...
-enterPort_expression
-  File: uart.v , 15
-  Text: tx_empty  ...
-enterPort_reference
-  File: uart.v , 15
-  Text: tx_empty  ...
-enterIdentifier
-  File: uart.v , 15
-  Text: tx_empty  ...
-enterConstant_select
-  File: uart.v , 15
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 15
-  Text:  ...
-enterPort
-  File: uart.v , 16
-  Text: rxclk  ...
-enterPort_expression
-  File: uart.v , 16
-  Text: rxclk  ...
-enterPort_reference
-  File: uart.v , 16
-  Text: rxclk  ...
-enterIdentifier
-  File: uart.v , 16
-  Text: rxclk  ...
-enterConstant_select
-  File: uart.v , 16
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 16
-  Text:  ...
-enterPort
-  File: uart.v , 17
-  Text: uld_rx_data  ...
-enterPort_expression
-  File: uart.v , 17
-  Text: uld_rx_data  ...
-enterPort_reference
-  File: uart.v , 17
-  Text: uld_rx_data  ...
-enterIdentifier
-  File: uart.v , 17
-  Text: uld_rx_data  ...
-enterConstant_select
-  File: uart.v , 17
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 17
-  Text:  ...
-enterPort
-  File: uart.v , 18
-  Text: rx_data  ...
-enterPort_expression
-  File: uart.v , 18
-  Text: rx_data  ...
-enterPort_reference
-  File: uart.v , 18
-  Text: rx_data  ...
-enterIdentifier
-  File: uart.v , 18
-  Text: rx_data  ...
-enterConstant_select
-  File: uart.v , 18
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 18
-  Text:  ...
-enterPort
-  File: uart.v , 19
-  Text: rx_enable  ...
-enterPort_expression
-  File: uart.v , 19
-  Text: rx_enable  ...
-enterPort_reference
-  File: uart.v , 19
-  Text: rx_enable  ...
-enterIdentifier
-  File: uart.v , 19
-  Text: rx_enable  ...
-enterConstant_select
-  File: uart.v , 19
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 19
-  Text:  ...
-enterPort
-  File: uart.v , 20
-  Text: rx_in  ...
-enterPort_expression
-  File: uart.v , 20
-  Text: rx_in  ...
-enterPort_reference
-  File: uart.v , 20
-  Text: rx_in  ...
-enterIdentifier
-  File: uart.v , 20
-  Text: rx_in  ...
-enterConstant_select
-  File: uart.v , 20
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 20
-  Text:  ...
-enterPort
-  File: uart.v , 21
-  Text: rx_empty  ...
-enterPort_expression
-  File: uart.v , 21
-  Text: rx_empty  ...
-enterPort_reference
-  File: uart.v , 21
-  Text: rx_empty  ...
-enterIdentifier
-  File: uart.v , 21
-  Text: rx_empty  ...
-enterConstant_select
-  File: uart.v , 22
-  Text:  ...
-enterConstant_bit_select
-  File: uart.v , 22
-  Text:  ...
-enterModule_item
-  File: uart.v , 24
-  Text: input reset ;  ...
-enterPort_declaration
-  File: uart.v , 24
-  Text: input reset  ...
-enterInput_declaration
-  File: uart.v , 24
-  Text: input reset  ...
-enterNet_port_type
-  File: uart.v , 24
-  Text:  ...
-enterData_type_or_implicit
-  File: uart.v , 24
-  Text:  ...
-enterList_of_port_identifiers
-  File: uart.v , 24
-  Text: reset  ...
-enterIdentifier
-  File: uart.v , 24
-  Text: reset  ...
-enterModule_item
-  File: uart.v , 25
-  Text: input txclk ;  ...
-enterPort_declaration
-  File: uart.v , 25
-  Text: input txclk  ...
-enterInput_declaration
-  File: uart.v , 25
-  Text: input txclk  ...
-enterNet_port_type
-  File: uart.v , 25
-  Text:  ...
-enterData_type_or_implicit
-  File: uart.v , 25
-  Text:  ...
-enterList_of_port_identifiers
-  File: uart.v , 25
-  Text: txclk  ...
-enterIdentifier
-  File: uart.v , 25
-  Text: txclk  ...
-enterModule_item
-  File: uart.v , 26
-  Text: input ld_tx_data ;  ...
-enterPort_declaration
-  File: uart.v , 26
-  Text: input ld_tx_data  ...
-enterInput_declaration
-  File: uart.v , 26
-  Text: input ld_tx_data  ...
-enterNet_port_type
-  File: uart.v , 26
-  Text:  ...
-enterData_type_or_implicit
-  File: uart.v , 26
-  Text:  ...
-enterList_of_port_identifiers
-  File: uart.v , 26
-  Text: ld_tx_data  ...
-enterIdentifier
-  File: uart.v , 26
-  Text: ld_tx_data  ...
-enterModule_item
-  File: uart.v , 27
-  Text: input [ 7 : 0 ] tx_d ...
-enterPort_declaration
-  File: uart.v , 27
-  Text: input [ 7 : 0 ] tx_d ...
-enterInput_declaration
-  File: uart.v , 27
-  Text: input [ 7 : 0 ] tx_d ...
-enterNet_port_type
-  File: uart.v , 27
-  Text: [ 7 : 0 ]  ...
-enterData_type_or_implicit
-  File: uart.v , 27
-  Text: [ 7 : 0 ]  ...
-enterPacked_dimension
-  File: uart.v , 27
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: uart.v , 27
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: uart.v , 27
-  Text: 7  ...
-enterConstant_primary
-  File: uart.v , 27
-  Text: 7  ...
-enterPrimary_literal
-  File: uart.v , 27
-  Text: 7  ...
-enterNumber_Integral
-  File: uart.v , 27
-  Text: 7  ...
-enterConstant_expression
-  File: uart.v , 27
-  Text: 0  ...
-enterConstant_primary
-  File: uart.v , 27
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 27
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 27
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: uart.v , 27
-  Text: tx_data  ...
-enterIdentifier
-  File: uart.v , 27
-  Text: tx_data  ...
-enterModule_item
-  File: uart.v , 28
-  Text: input tx_enable ;  ...
-enterPort_declaration
-  File: uart.v , 28
-  Text: input tx_enable  ...
-enterInput_declaration
-  File: uart.v , 28
-  Text: input tx_enable  ...
-enterNet_port_type
-  File: uart.v , 28
-  Text:  ...
-enterData_type_or_implicit
-  File: uart.v , 28
-  Text:  ...
-enterList_of_port_identifiers
-  File: uart.v , 28
-  Text: tx_enable  ...
-enterIdentifier
-  File: uart.v , 28
-  Text: tx_enable  ...
-enterModule_item
-  File: uart.v , 29
-  Text: output tx_out ;  ...
-enterPort_declaration
-  File: uart.v , 29
-  Text: output tx_out  ...
-enterOutput_declaration
-  File: uart.v , 29
-  Text: output tx_out  ...
-enterNet_port_type
-  File: uart.v , 29
-  Text:  ...
-enterData_type_or_implicit
-  File: uart.v , 29
-  Text:  ...
-enterList_of_port_identifiers
-  File: uart.v , 29
-  Text: tx_out  ...
-enterIdentifier
-  File: uart.v , 29
-  Text: tx_out  ...
-enterModule_item
-  File: uart.v , 30
-  Text: output tx_empty ;  ...
-enterPort_declaration
-  File: uart.v , 30
-  Text: output tx_empty  ...
-enterOutput_declaration
-  File: uart.v , 30
-  Text: output tx_empty  ...
-enterNet_port_type
-  File: uart.v , 30
-  Text:  ...
-enterData_type_or_implicit
-  File: uart.v , 30
-  Text:  ...
-enterList_of_port_identifiers
-  File: uart.v , 30
-  Text: tx_empty  ...
-enterIdentifier
-  File: uart.v , 30
-  Text: tx_empty  ...
-enterModule_item
-  File: uart.v , 31
-  Text: input rxclk ;  ...
-enterPort_declaration
-  File: uart.v , 31
-  Text: input rxclk  ...
-enterInput_declaration
-  File: uart.v , 31
-  Text: input rxclk  ...
-enterNet_port_type
-  File: uart.v , 31
-  Text:  ...
-enterData_type_or_implicit
-  File: uart.v , 31
-  Text:  ...
-enterList_of_port_identifiers
-  File: uart.v , 31
-  Text: rxclk  ...
-enterIdentifier
-  File: uart.v , 31
-  Text: rxclk  ...
-enterModule_item
-  File: uart.v , 32
-  Text: input uld_rx_data ;  ...
-enterPort_declaration
-  File: uart.v , 32
-  Text: input uld_rx_data  ...
-enterInput_declaration
-  File: uart.v , 32
-  Text: input uld_rx_data  ...
-enterNet_port_type
-  File: uart.v , 32
-  Text:  ...
-enterData_type_or_implicit
-  File: uart.v , 32
-  Text:  ...
-enterList_of_port_identifiers
-  File: uart.v , 32
-  Text: uld_rx_data  ...
-enterIdentifier
-  File: uart.v , 32
-  Text: uld_rx_data  ...
-enterModule_item
-  File: uart.v , 33
-  Text: output [ 7 : 0 ] rx_ ...
-enterPort_declaration
-  File: uart.v , 33
-  Text: output [ 7 : 0 ] rx_ ...
-enterOutput_declaration
-  File: uart.v , 33
-  Text: output [ 7 : 0 ] rx_ ...
-enterNet_port_type
-  File: uart.v , 33
-  Text: [ 7 : 0 ]  ...
-enterData_type_or_implicit
-  File: uart.v , 33
-  Text: [ 7 : 0 ]  ...
-enterPacked_dimension
-  File: uart.v , 33
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: uart.v , 33
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: uart.v , 33
-  Text: 7  ...
-enterConstant_primary
-  File: uart.v , 33
-  Text: 7  ...
-enterPrimary_literal
-  File: uart.v , 33
-  Text: 7  ...
-enterNumber_Integral
-  File: uart.v , 33
-  Text: 7  ...
-enterConstant_expression
-  File: uart.v , 33
-  Text: 0  ...
-enterConstant_primary
-  File: uart.v , 33
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 33
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 33
-  Text: 0  ...
-enterList_of_port_identifiers
-  File: uart.v , 33
-  Text: rx_data  ...
-enterIdentifier
-  File: uart.v , 33
-  Text: rx_data  ...
-enterModule_item
-  File: uart.v , 34
-  Text: input rx_enable ;  ...
-enterPort_declaration
-  File: uart.v , 34
-  Text: input rx_enable  ...
-enterInput_declaration
-  File: uart.v , 34
-  Text: input rx_enable  ...
-enterNet_port_type
-  File: uart.v , 34
-  Text:  ...
-enterData_type_or_implicit
-  File: uart.v , 34
-  Text:  ...
-enterList_of_port_identifiers
-  File: uart.v , 34
-  Text: rx_enable  ...
-enterIdentifier
-  File: uart.v , 34
-  Text: rx_enable  ...
-enterModule_item
-  File: uart.v , 35
-  Text: input rx_in ;  ...
-enterPort_declaration
-  File: uart.v , 35
-  Text: input rx_in  ...
-enterInput_declaration
-  File: uart.v , 35
-  Text: input rx_in  ...
-enterNet_port_type
-  File: uart.v , 35
-  Text:  ...
-enterData_type_or_implicit
-  File: uart.v , 35
-  Text:  ...
-enterList_of_port_identifiers
-  File: uart.v , 35
-  Text: rx_in  ...
-enterIdentifier
-  File: uart.v , 35
-  Text: rx_in  ...
-enterModule_item
-  File: uart.v , 36
-  Text: output rx_empty ;  ...
-enterPort_declaration
-  File: uart.v , 36
-  Text: output rx_empty  ...
-enterOutput_declaration
-  File: uart.v , 36
-  Text: output rx_empty  ...
-enterNet_port_type
-  File: uart.v , 36
-  Text:  ...
-enterData_type_or_implicit
-  File: uart.v , 36
-  Text:  ...
-enterList_of_port_identifiers
-  File: uart.v , 36
-  Text: rx_empty  ...
-enterIdentifier
-  File: uart.v , 36
-  Text: rx_empty  ...
-enterModule_item
-  File: uart.v , 39
-  Text: reg [ 7 : 0 ] tx_reg ...
-enterNon_port_module_item
-  File: uart.v , 39
-  Text: reg [ 7 : 0 ] tx_reg ...
-enterModule_or_generate_item
-  File: uart.v , 39
-  Text: reg [ 7 : 0 ] tx_reg ...
-enterModule_common_item
-  File: uart.v , 39
-  Text: reg [ 7 : 0 ] tx_reg ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 39
-  Text: reg [ 7 : 0 ] tx_reg ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 39
-  Text: reg [ 7 : 0 ] tx_reg ...
-enterData_declaration
-  File: uart.v , 39
-  Text: reg [ 7 : 0 ] tx_reg ...
-enterVariable_declaration
-  File: uart.v , 39
-  Text: reg [ 7 : 0 ] tx_reg ...
-enterData_type
-  File: uart.v , 39
-  Text: reg [ 7 : 0 ]  ...
-enterIntVec_TypeReg
-  File: uart.v , 39
-  Text: reg  ...
-enterPacked_dimension
-  File: uart.v , 39
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: uart.v , 39
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: uart.v , 39
-  Text: 7  ...
-enterConstant_primary
-  File: uart.v , 39
-  Text: 7  ...
-enterPrimary_literal
-  File: uart.v , 39
-  Text: 7  ...
-enterNumber_Integral
-  File: uart.v , 39
-  Text: 7  ...
-enterConstant_expression
-  File: uart.v , 39
-  Text: 0  ...
-enterConstant_primary
-  File: uart.v , 39
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 39
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 39
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 39
-  Text: tx_reg  ...
-enterVariable_decl_assignment
-  File: uart.v , 39
-  Text: tx_reg  ...
-enterIdentifier
-  File: uart.v , 39
-  Text: tx_reg  ...
-enterModule_item
-  File: uart.v , 40
-  Text: reg tx_empty ;  ...
-enterNon_port_module_item
-  File: uart.v , 40
-  Text: reg tx_empty ;  ...
-enterModule_or_generate_item
-  File: uart.v , 40
-  Text: reg tx_empty ;  ...
-enterModule_common_item
-  File: uart.v , 40
-  Text: reg tx_empty ;  ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 40
-  Text: reg tx_empty ;  ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 40
-  Text: reg tx_empty ;  ...
-enterData_declaration
-  File: uart.v , 40
-  Text: reg tx_empty ;  ...
-enterVariable_declaration
-  File: uart.v , 40
-  Text: reg tx_empty ;  ...
-enterData_type
-  File: uart.v , 40
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: uart.v , 40
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 40
-  Text: tx_empty  ...
-enterVariable_decl_assignment
-  File: uart.v , 40
-  Text: tx_empty  ...
-enterIdentifier
-  File: uart.v , 40
-  Text: tx_empty  ...
-enterModule_item
-  File: uart.v , 41
-  Text: reg tx_over_run ;  ...
-enterNon_port_module_item
-  File: uart.v , 41
-  Text: reg tx_over_run ;  ...
-enterModule_or_generate_item
-  File: uart.v , 41
-  Text: reg tx_over_run ;  ...
-enterModule_common_item
-  File: uart.v , 41
-  Text: reg tx_over_run ;  ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 41
-  Text: reg tx_over_run ;  ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 41
-  Text: reg tx_over_run ;  ...
-enterData_declaration
-  File: uart.v , 41
-  Text: reg tx_over_run ;  ...
-enterVariable_declaration
-  File: uart.v , 41
-  Text: reg tx_over_run ;  ...
-enterData_type
-  File: uart.v , 41
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: uart.v , 41
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 41
-  Text: tx_over_run  ...
-enterVariable_decl_assignment
-  File: uart.v , 41
-  Text: tx_over_run  ...
-enterIdentifier
-  File: uart.v , 41
-  Text: tx_over_run  ...
-enterModule_item
-  File: uart.v , 42
-  Text: reg [ 3 : 0 ] tx_cnt ...
-enterNon_port_module_item
-  File: uart.v , 42
-  Text: reg [ 3 : 0 ] tx_cnt ...
-enterModule_or_generate_item
-  File: uart.v , 42
-  Text: reg [ 3 : 0 ] tx_cnt ...
-enterModule_common_item
-  File: uart.v , 42
-  Text: reg [ 3 : 0 ] tx_cnt ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 42
-  Text: reg [ 3 : 0 ] tx_cnt ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 42
-  Text: reg [ 3 : 0 ] tx_cnt ...
-enterData_declaration
-  File: uart.v , 42
-  Text: reg [ 3 : 0 ] tx_cnt ...
-enterVariable_declaration
-  File: uart.v , 42
-  Text: reg [ 3 : 0 ] tx_cnt ...
-enterData_type
-  File: uart.v , 42
-  Text: reg [ 3 : 0 ]  ...
-enterIntVec_TypeReg
-  File: uart.v , 42
-  Text: reg  ...
-enterPacked_dimension
-  File: uart.v , 42
-  Text: [ 3 : 0 ]  ...
-enterConstant_range
-  File: uart.v , 42
-  Text: 3 : 0  ...
-enterConstant_expression
-  File: uart.v , 42
-  Text: 3  ...
-enterConstant_primary
-  File: uart.v , 42
-  Text: 3  ...
-enterPrimary_literal
-  File: uart.v , 42
-  Text: 3  ...
-enterNumber_Integral
-  File: uart.v , 42
-  Text: 3  ...
-enterConstant_expression
-  File: uart.v , 42
-  Text: 0  ...
-enterConstant_primary
-  File: uart.v , 42
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 42
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 42
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 42
-  Text: tx_cnt  ...
-enterVariable_decl_assignment
-  File: uart.v , 42
-  Text: tx_cnt  ...
-enterIdentifier
-  File: uart.v , 42
-  Text: tx_cnt  ...
-enterModule_item
-  File: uart.v , 43
-  Text: reg tx_out ;  ...
-enterNon_port_module_item
-  File: uart.v , 43
-  Text: reg tx_out ;  ...
-enterModule_or_generate_item
-  File: uart.v , 43
-  Text: reg tx_out ;  ...
-enterModule_common_item
-  File: uart.v , 43
-  Text: reg tx_out ;  ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 43
-  Text: reg tx_out ;  ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 43
-  Text: reg tx_out ;  ...
-enterData_declaration
-  File: uart.v , 43
-  Text: reg tx_out ;  ...
-enterVariable_declaration
-  File: uart.v , 43
-  Text: reg tx_out ;  ...
-enterData_type
-  File: uart.v , 43
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: uart.v , 43
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 43
-  Text: tx_out  ...
-enterVariable_decl_assignment
-  File: uart.v , 43
-  Text: tx_out  ...
-enterIdentifier
-  File: uart.v , 43
-  Text: tx_out  ...
-enterModule_item
-  File: uart.v , 44
-  Text: reg [ 7 : 0 ] rx_reg ...
-enterNon_port_module_item
-  File: uart.v , 44
-  Text: reg [ 7 : 0 ] rx_reg ...
-enterModule_or_generate_item
-  File: uart.v , 44
-  Text: reg [ 7 : 0 ] rx_reg ...
-enterModule_common_item
-  File: uart.v , 44
-  Text: reg [ 7 : 0 ] rx_reg ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 44
-  Text: reg [ 7 : 0 ] rx_reg ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 44
-  Text: reg [ 7 : 0 ] rx_reg ...
-enterData_declaration
-  File: uart.v , 44
-  Text: reg [ 7 : 0 ] rx_reg ...
-enterVariable_declaration
-  File: uart.v , 44
-  Text: reg [ 7 : 0 ] rx_reg ...
-enterData_type
-  File: uart.v , 44
-  Text: reg [ 7 : 0 ]  ...
-enterIntVec_TypeReg
-  File: uart.v , 44
-  Text: reg  ...
-enterPacked_dimension
-  File: uart.v , 44
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: uart.v , 44
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: uart.v , 44
-  Text: 7  ...
-enterConstant_primary
-  File: uart.v , 44
-  Text: 7  ...
-enterPrimary_literal
-  File: uart.v , 44
-  Text: 7  ...
-enterNumber_Integral
-  File: uart.v , 44
-  Text: 7  ...
-enterConstant_expression
-  File: uart.v , 44
-  Text: 0  ...
-enterConstant_primary
-  File: uart.v , 44
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 44
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 44
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 44
-  Text: rx_reg  ...
-enterVariable_decl_assignment
-  File: uart.v , 44
-  Text: rx_reg  ...
-enterIdentifier
-  File: uart.v , 44
-  Text: rx_reg  ...
-enterModule_item
-  File: uart.v , 45
-  Text: reg [ 7 : 0 ] rx_dat ...
-enterNon_port_module_item
-  File: uart.v , 45
-  Text: reg [ 7 : 0 ] rx_dat ...
-enterModule_or_generate_item
-  File: uart.v , 45
-  Text: reg [ 7 : 0 ] rx_dat ...
-enterModule_common_item
-  File: uart.v , 45
-  Text: reg [ 7 : 0 ] rx_dat ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 45
-  Text: reg [ 7 : 0 ] rx_dat ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 45
-  Text: reg [ 7 : 0 ] rx_dat ...
-enterData_declaration
-  File: uart.v , 45
-  Text: reg [ 7 : 0 ] rx_dat ...
-enterVariable_declaration
-  File: uart.v , 45
-  Text: reg [ 7 : 0 ] rx_dat ...
-enterData_type
-  File: uart.v , 45
-  Text: reg [ 7 : 0 ]  ...
-enterIntVec_TypeReg
-  File: uart.v , 45
-  Text: reg  ...
-enterPacked_dimension
-  File: uart.v , 45
-  Text: [ 7 : 0 ]  ...
-enterConstant_range
-  File: uart.v , 45
-  Text: 7 : 0  ...
-enterConstant_expression
-  File: uart.v , 45
-  Text: 7  ...
-enterConstant_primary
-  File: uart.v , 45
-  Text: 7  ...
-enterPrimary_literal
-  File: uart.v , 45
-  Text: 7  ...
-enterNumber_Integral
-  File: uart.v , 45
-  Text: 7  ...
-enterConstant_expression
-  File: uart.v , 45
-  Text: 0  ...
-enterConstant_primary
-  File: uart.v , 45
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 45
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 45
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 45
-  Text: rx_data  ...
-enterVariable_decl_assignment
-  File: uart.v , 45
-  Text: rx_data  ...
-enterIdentifier
-  File: uart.v , 45
-  Text: rx_data  ...
-enterModule_item
-  File: uart.v , 46
-  Text: reg [ 3 : 0 ] rx_sam ...
-enterNon_port_module_item
-  File: uart.v , 46
-  Text: reg [ 3 : 0 ] rx_sam ...
-enterModule_or_generate_item
-  File: uart.v , 46
-  Text: reg [ 3 : 0 ] rx_sam ...
-enterModule_common_item
-  File: uart.v , 46
-  Text: reg [ 3 : 0 ] rx_sam ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 46
-  Text: reg [ 3 : 0 ] rx_sam ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 46
-  Text: reg [ 3 : 0 ] rx_sam ...
-enterData_declaration
-  File: uart.v , 46
-  Text: reg [ 3 : 0 ] rx_sam ...
-enterVariable_declaration
-  File: uart.v , 46
-  Text: reg [ 3 : 0 ] rx_sam ...
-enterData_type
-  File: uart.v , 46
-  Text: reg [ 3 : 0 ]  ...
-enterIntVec_TypeReg
-  File: uart.v , 46
-  Text: reg  ...
-enterPacked_dimension
-  File: uart.v , 46
-  Text: [ 3 : 0 ]  ...
-enterConstant_range
-  File: uart.v , 46
-  Text: 3 : 0  ...
-enterConstant_expression
-  File: uart.v , 46
-  Text: 3  ...
-enterConstant_primary
-  File: uart.v , 46
-  Text: 3  ...
-enterPrimary_literal
-  File: uart.v , 46
-  Text: 3  ...
-enterNumber_Integral
-  File: uart.v , 46
-  Text: 3  ...
-enterConstant_expression
-  File: uart.v , 46
-  Text: 0  ...
-enterConstant_primary
-  File: uart.v , 46
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 46
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 46
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 46
-  Text: rx_sample_cnt  ...
-enterVariable_decl_assignment
-  File: uart.v , 46
-  Text: rx_sample_cnt  ...
-enterIdentifier
-  File: uart.v , 46
-  Text: rx_sample_cnt  ...
-enterModule_item
-  File: uart.v , 47
-  Text: reg [ 3 : 0 ] rx_cnt ...
-enterNon_port_module_item
-  File: uart.v , 47
-  Text: reg [ 3 : 0 ] rx_cnt ...
-enterModule_or_generate_item
-  File: uart.v , 47
-  Text: reg [ 3 : 0 ] rx_cnt ...
-enterModule_common_item
-  File: uart.v , 47
-  Text: reg [ 3 : 0 ] rx_cnt ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 47
-  Text: reg [ 3 : 0 ] rx_cnt ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 47
-  Text: reg [ 3 : 0 ] rx_cnt ...
-enterData_declaration
-  File: uart.v , 47
-  Text: reg [ 3 : 0 ] rx_cnt ...
-enterVariable_declaration
-  File: uart.v , 47
-  Text: reg [ 3 : 0 ] rx_cnt ...
-enterData_type
-  File: uart.v , 47
-  Text: reg [ 3 : 0 ]  ...
-enterIntVec_TypeReg
-  File: uart.v , 47
-  Text: reg  ...
-enterPacked_dimension
-  File: uart.v , 47
-  Text: [ 3 : 0 ]  ...
-enterConstant_range
-  File: uart.v , 47
-  Text: 3 : 0  ...
-enterConstant_expression
-  File: uart.v , 47
-  Text: 3  ...
-enterConstant_primary
-  File: uart.v , 47
-  Text: 3  ...
-enterPrimary_literal
-  File: uart.v , 47
-  Text: 3  ...
-enterNumber_Integral
-  File: uart.v , 47
-  Text: 3  ...
-enterConstant_expression
-  File: uart.v , 47
-  Text: 0  ...
-enterConstant_primary
-  File: uart.v , 47
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 47
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 47
-  Text: 0  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 47
-  Text: rx_cnt  ...
-enterVariable_decl_assignment
-  File: uart.v , 47
-  Text: rx_cnt  ...
-enterIdentifier
-  File: uart.v , 47
-  Text: rx_cnt  ...
-enterModule_item
-  File: uart.v , 48
-  Text: reg rx_frame_err ;  ...
-enterNon_port_module_item
-  File: uart.v , 48
-  Text: reg rx_frame_err ;  ...
-enterModule_or_generate_item
-  File: uart.v , 48
-  Text: reg rx_frame_err ;  ...
-enterModule_common_item
-  File: uart.v , 48
-  Text: reg rx_frame_err ;  ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 48
-  Text: reg rx_frame_err ;  ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 48
-  Text: reg rx_frame_err ;  ...
-enterData_declaration
-  File: uart.v , 48
-  Text: reg rx_frame_err ;  ...
-enterVariable_declaration
-  File: uart.v , 48
-  Text: reg rx_frame_err ;  ...
-enterData_type
-  File: uart.v , 48
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: uart.v , 48
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 48
-  Text: rx_frame_err  ...
-enterVariable_decl_assignment
-  File: uart.v , 48
-  Text: rx_frame_err  ...
-enterIdentifier
-  File: uart.v , 48
-  Text: rx_frame_err  ...
-enterModule_item
-  File: uart.v , 49
-  Text: reg rx_over_run ;  ...
-enterNon_port_module_item
-  File: uart.v , 49
-  Text: reg rx_over_run ;  ...
-enterModule_or_generate_item
-  File: uart.v , 49
-  Text: reg rx_over_run ;  ...
-enterModule_common_item
-  File: uart.v , 49
-  Text: reg rx_over_run ;  ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 49
-  Text: reg rx_over_run ;  ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 49
-  Text: reg rx_over_run ;  ...
-enterData_declaration
-  File: uart.v , 49
-  Text: reg rx_over_run ;  ...
-enterVariable_declaration
-  File: uart.v , 49
-  Text: reg rx_over_run ;  ...
-enterData_type
-  File: uart.v , 49
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: uart.v , 49
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 49
-  Text: rx_over_run  ...
-enterVariable_decl_assignment
-  File: uart.v , 49
-  Text: rx_over_run  ...
-enterIdentifier
-  File: uart.v , 49
-  Text: rx_over_run  ...
-enterModule_item
-  File: uart.v , 50
-  Text: reg rx_empty ;  ...
-enterNon_port_module_item
-  File: uart.v , 50
-  Text: reg rx_empty ;  ...
-enterModule_or_generate_item
-  File: uart.v , 50
-  Text: reg rx_empty ;  ...
-enterModule_common_item
-  File: uart.v , 50
-  Text: reg rx_empty ;  ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 50
-  Text: reg rx_empty ;  ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 50
-  Text: reg rx_empty ;  ...
-enterData_declaration
-  File: uart.v , 50
-  Text: reg rx_empty ;  ...
-enterVariable_declaration
-  File: uart.v , 50
-  Text: reg rx_empty ;  ...
-enterData_type
-  File: uart.v , 50
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: uart.v , 50
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 50
-  Text: rx_empty  ...
-enterVariable_decl_assignment
-  File: uart.v , 50
-  Text: rx_empty  ...
-enterIdentifier
-  File: uart.v , 50
-  Text: rx_empty  ...
-enterModule_item
-  File: uart.v , 51
-  Text: reg rx_d1 ;  ...
-enterNon_port_module_item
-  File: uart.v , 51
-  Text: reg rx_d1 ;  ...
-enterModule_or_generate_item
-  File: uart.v , 51
-  Text: reg rx_d1 ;  ...
-enterModule_common_item
-  File: uart.v , 51
-  Text: reg rx_d1 ;  ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 51
-  Text: reg rx_d1 ;  ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 51
-  Text: reg rx_d1 ;  ...
-enterData_declaration
-  File: uart.v , 51
-  Text: reg rx_d1 ;  ...
-enterVariable_declaration
-  File: uart.v , 51
-  Text: reg rx_d1 ;  ...
-enterData_type
-  File: uart.v , 51
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: uart.v , 51
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 51
-  Text: rx_d1  ...
-enterVariable_decl_assignment
-  File: uart.v , 51
-  Text: rx_d1  ...
-enterIdentifier
-  File: uart.v , 51
-  Text: rx_d1  ...
-enterModule_item
-  File: uart.v , 52
-  Text: reg rx_d2 ;  ...
-enterNon_port_module_item
-  File: uart.v , 52
-  Text: reg rx_d2 ;  ...
-enterModule_or_generate_item
-  File: uart.v , 52
-  Text: reg rx_d2 ;  ...
-enterModule_common_item
-  File: uart.v , 52
-  Text: reg rx_d2 ;  ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 52
-  Text: reg rx_d2 ;  ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 52
-  Text: reg rx_d2 ;  ...
-enterData_declaration
-  File: uart.v , 52
-  Text: reg rx_d2 ;  ...
-enterVariable_declaration
-  File: uart.v , 52
-  Text: reg rx_d2 ;  ...
-enterData_type
-  File: uart.v , 52
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: uart.v , 52
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 52
-  Text: rx_d2  ...
-enterVariable_decl_assignment
-  File: uart.v , 52
-  Text: rx_d2  ...
-enterIdentifier
-  File: uart.v , 52
-  Text: rx_d2  ...
-enterModule_item
-  File: uart.v , 53
-  Text: reg rx_busy ;  ...
-enterNon_port_module_item
-  File: uart.v , 53
-  Text: reg rx_busy ;  ...
-enterModule_or_generate_item
-  File: uart.v , 53
-  Text: reg rx_busy ;  ...
-enterModule_common_item
-  File: uart.v , 53
-  Text: reg rx_busy ;  ...
-enterModule_or_generate_item_declaration
-  File: uart.v , 53
-  Text: reg rx_busy ;  ...
-enterPackage_or_generate_item_declaration
-  File: uart.v , 53
-  Text: reg rx_busy ;  ...
-enterData_declaration
-  File: uart.v , 53
-  Text: reg rx_busy ;  ...
-enterVariable_declaration
-  File: uart.v , 53
-  Text: reg rx_busy ;  ...
-enterData_type
-  File: uart.v , 53
-  Text: reg  ...
-enterIntVec_TypeReg
-  File: uart.v , 53
-  Text: reg  ...
-enterList_of_variable_decl_assignments
-  File: uart.v , 53
-  Text: rx_busy  ...
-enterVariable_decl_assignment
-  File: uart.v , 53
-  Text: rx_busy  ...
-enterIdentifier
-  File: uart.v , 53
-  Text: rx_busy  ...
-enterModule_item
-  File: uart.v , 56
-  Text: always @ ( posedge r ...
-enterNon_port_module_item
-  File: uart.v , 56
-  Text: always @ ( posedge r ...
-enterModule_or_generate_item
-  File: uart.v , 56
-  Text: always @ ( posedge r ...
-enterModule_common_item
-  File: uart.v , 56
-  Text: always @ ( posedge r ...
-enterAlways_construct
-  File: uart.v , 56
-  Text: always @ ( posedge r ...
-enterAlwaysKeywd_Always
-  File: uart.v , 56
-  Text: always  ...
-enterStatement
-  File: uart.v , 56
-  Text: @ ( posedge rxclk or ...
-enterStatement_item
-  File: uart.v , 56
-  Text: @ ( posedge rxclk or ...
-enterProcedural_timing_control_statement
-  File: uart.v , 56
-  Text: @ ( posedge rxclk or ...
-enterProcedural_timing_control
-  File: uart.v , 56
-  Text: @ ( posedge rxclk or ...
-enterEvent_control
-  File: uart.v , 56
-  Text: @ ( posedge rxclk or ...
-enterEvent_expression
-  File: uart.v , 56
-  Text: posedge rxclk or pos ...
-enterEvent_expression
-  File: uart.v , 56
-  Text: posedge rxclk  ...
-enterEdge_Posedge
-  File: uart.v , 56
-  Text: posedge  ...
-enterExpression
-  File: uart.v , 56
-  Text: rxclk  ...
-enterPrimary
-  File: uart.v , 56
-  Text: rxclk  ...
-enterPrimary_literal
-  File: uart.v , 56
-  Text: rxclk  ...
-enterIdentifier
-  File: uart.v , 56
-  Text: rxclk  ...
-enterEvent_expression
-  File: uart.v , 56
-  Text: posedge reset  ...
-enterEdge_Posedge
-  File: uart.v , 56
-  Text: posedge  ...
-enterExpression
-  File: uart.v , 56
-  Text: reset  ...
-enterPrimary
-  File: uart.v , 56
-  Text: reset  ...
-enterPrimary_literal
-  File: uart.v , 56
-  Text: reset  ...
-enterIdentifier
-  File: uart.v , 56
-  Text: reset  ...
-enterStatement_or_null
-  File: uart.v , 57
-  Text: if ( reset ) begin r ...
-enterStatement
-  File: uart.v , 57
-  Text: if ( reset ) begin r ...
-enterStatement_item
-  File: uart.v , 57
-  Text: if ( reset ) begin r ...
-enterConditional_statement
-  File: uart.v , 57
-  Text: if ( reset ) begin r ...
-enterCond_predicate
-  File: uart.v , 57
-  Text: reset  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 57
-  Text: reset  ...
-enterExpression
-  File: uart.v , 57
-  Text: reset  ...
-enterPrimary
-  File: uart.v , 57
-  Text: reset  ...
-enterPrimary_literal
-  File: uart.v , 57
-  Text: reset  ...
-enterIdentifier
-  File: uart.v , 57
-  Text: reset  ...
-enterStatement_or_null
-  File: uart.v , 57
-  Text: begin rx_reg <= 0 ;  ...
-enterStatement
-  File: uart.v , 57
-  Text: begin rx_reg <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 57
-  Text: begin rx_reg <= 0 ;  ...
-enterSeq_block
-  File: uart.v , 57
-  Text: begin rx_reg <= 0 ;  ...
-enterStatement_or_null
-  File: uart.v , 58
-  Text: rx_reg <= 0 ;  ...
-enterStatement
-  File: uart.v , 58
-  Text: rx_reg <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 58
-  Text: rx_reg <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 58
-  Text: rx_reg <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 58
-  Text: rx_reg  ...
-enterHierarchical_identifier
-  File: uart.v , 58
-  Text: rx_reg  ...
-enterSelect
-  File: uart.v , 58
-  Text:  ...
-enterBit_select
-  File: uart.v , 58
-  Text:  ...
-enterExpression
-  File: uart.v , 58
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 58
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 58
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 58
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 59
-  Text: rx_data <= 0 ;  ...
-enterStatement
-  File: uart.v , 59
-  Text: rx_data <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 59
-  Text: rx_data <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 59
-  Text: rx_data <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 59
-  Text: rx_data  ...
-enterHierarchical_identifier
-  File: uart.v , 59
-  Text: rx_data  ...
-enterSelect
-  File: uart.v , 59
-  Text:  ...
-enterBit_select
-  File: uart.v , 59
-  Text:  ...
-enterExpression
-  File: uart.v , 59
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 59
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 59
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 59
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 60
-  Text: rx_sample_cnt <= 0 ; ...
-enterStatement
-  File: uart.v , 60
-  Text: rx_sample_cnt <= 0 ; ...
-enterStatement_item
-  File: uart.v , 60
-  Text: rx_sample_cnt <= 0 ; ...
-enterNonblocking_assignment
-  File: uart.v , 60
-  Text: rx_sample_cnt <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 60
-  Text: rx_sample_cnt  ...
-enterHierarchical_identifier
-  File: uart.v , 60
-  Text: rx_sample_cnt  ...
-enterSelect
-  File: uart.v , 60
-  Text:  ...
-enterBit_select
-  File: uart.v , 60
-  Text:  ...
-enterExpression
-  File: uart.v , 60
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 60
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 60
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 60
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 61
-  Text: rx_cnt <= 0 ;  ...
-enterStatement
-  File: uart.v , 61
-  Text: rx_cnt <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 61
-  Text: rx_cnt <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 61
-  Text: rx_cnt <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 61
-  Text: rx_cnt  ...
-enterHierarchical_identifier
-  File: uart.v , 61
-  Text: rx_cnt  ...
-enterSelect
-  File: uart.v , 61
-  Text:  ...
-enterBit_select
-  File: uart.v , 61
-  Text:  ...
-enterExpression
-  File: uart.v , 61
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 61
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 61
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 61
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 62
-  Text: rx_frame_err <= 0 ;  ...
-enterStatement
-  File: uart.v , 62
-  Text: rx_frame_err <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 62
-  Text: rx_frame_err <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 62
-  Text: rx_frame_err <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 62
-  Text: rx_frame_err  ...
-enterHierarchical_identifier
-  File: uart.v , 62
-  Text: rx_frame_err  ...
-enterSelect
-  File: uart.v , 62
-  Text:  ...
-enterBit_select
-  File: uart.v , 62
-  Text:  ...
-enterExpression
-  File: uart.v , 62
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 62
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 62
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 62
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 63
-  Text: rx_over_run <= 0 ;  ...
-enterStatement
-  File: uart.v , 63
-  Text: rx_over_run <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 63
-  Text: rx_over_run <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 63
-  Text: rx_over_run <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 63
-  Text: rx_over_run  ...
-enterHierarchical_identifier
-  File: uart.v , 63
-  Text: rx_over_run  ...
-enterSelect
-  File: uart.v , 63
-  Text:  ...
-enterBit_select
-  File: uart.v , 63
-  Text:  ...
-enterExpression
-  File: uart.v , 63
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 63
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 63
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 63
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 64
-  Text: rx_empty <= 1 ;  ...
-enterStatement
-  File: uart.v , 64
-  Text: rx_empty <= 1 ;  ...
-enterStatement_item
-  File: uart.v , 64
-  Text: rx_empty <= 1 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 64
-  Text: rx_empty <= 1  ...
-enterVariable_lvalue
-  File: uart.v , 64
-  Text: rx_empty  ...
-enterHierarchical_identifier
-  File: uart.v , 64
-  Text: rx_empty  ...
-enterSelect
-  File: uart.v , 64
-  Text:  ...
-enterBit_select
-  File: uart.v , 64
-  Text:  ...
-enterExpression
-  File: uart.v , 64
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 64
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 64
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 64
-  Text: 1  ...
-enterStatement_or_null
-  File: uart.v , 65
-  Text: rx_d1 <= 1 ;  ...
-enterStatement
-  File: uart.v , 65
-  Text: rx_d1 <= 1 ;  ...
-enterStatement_item
-  File: uart.v , 65
-  Text: rx_d1 <= 1 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 65
-  Text: rx_d1 <= 1  ...
-enterVariable_lvalue
-  File: uart.v , 65
-  Text: rx_d1  ...
-enterHierarchical_identifier
-  File: uart.v , 65
-  Text: rx_d1  ...
-enterSelect
-  File: uart.v , 65
-  Text:  ...
-enterBit_select
-  File: uart.v , 65
-  Text:  ...
-enterExpression
-  File: uart.v , 65
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 65
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 65
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 65
-  Text: 1  ...
-enterStatement_or_null
-  File: uart.v , 66
-  Text: rx_d2 <= 1 ;  ...
-enterStatement
-  File: uart.v , 66
-  Text: rx_d2 <= 1 ;  ...
-enterStatement_item
-  File: uart.v , 66
-  Text: rx_d2 <= 1 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 66
-  Text: rx_d2 <= 1  ...
-enterVariable_lvalue
-  File: uart.v , 66
-  Text: rx_d2  ...
-enterHierarchical_identifier
-  File: uart.v , 66
-  Text: rx_d2  ...
-enterSelect
-  File: uart.v , 66
-  Text:  ...
-enterBit_select
-  File: uart.v , 66
-  Text:  ...
-enterExpression
-  File: uart.v , 66
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 66
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 66
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 66
-  Text: 1  ...
-enterStatement_or_null
-  File: uart.v , 67
-  Text: rx_busy <= 0 ;  ...
-enterStatement
-  File: uart.v , 67
-  Text: rx_busy <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 67
-  Text: rx_busy <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 67
-  Text: rx_busy <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 67
-  Text: rx_busy  ...
-enterHierarchical_identifier
-  File: uart.v , 67
-  Text: rx_busy  ...
-enterSelect
-  File: uart.v , 67
-  Text:  ...
-enterBit_select
-  File: uart.v , 67
-  Text:  ...
-enterExpression
-  File: uart.v , 67
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 67
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 67
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 67
-  Text: 0  ...
-enterEnd
-  File: uart.v , 68
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 68
-  Text: begin rx_d1 <= rx_in ...
-enterStatement
-  File: uart.v , 68
-  Text: begin rx_d1 <= rx_in ...
-enterStatement_item
-  File: uart.v , 68
-  Text: begin rx_d1 <= rx_in ...
-enterSeq_block
-  File: uart.v , 68
-  Text: begin rx_d1 <= rx_in ...
-enterStatement_or_null
-  File: uart.v , 70
-  Text: rx_d1 <= rx_in ;  ...
-enterStatement
-  File: uart.v , 70
-  Text: rx_d1 <= rx_in ;  ...
-enterStatement_item
-  File: uart.v , 70
-  Text: rx_d1 <= rx_in ;  ...
-enterNonblocking_assignment
-  File: uart.v , 70
-  Text: rx_d1 <= rx_in  ...
-enterVariable_lvalue
-  File: uart.v , 70
-  Text: rx_d1  ...
-enterHierarchical_identifier
-  File: uart.v , 70
-  Text: rx_d1  ...
-enterSelect
-  File: uart.v , 70
-  Text:  ...
-enterBit_select
-  File: uart.v , 70
-  Text:  ...
-enterExpression
-  File: uart.v , 70
-  Text: rx_in  ...
-enterPrimary
-  File: uart.v , 70
-  Text: rx_in  ...
-enterPrimary_literal
-  File: uart.v , 70
-  Text: rx_in  ...
-enterIdentifier
-  File: uart.v , 70
-  Text: rx_in  ...
-enterStatement_or_null
-  File: uart.v , 71
-  Text: rx_d2 <= rx_d1 ;  ...
-enterStatement
-  File: uart.v , 71
-  Text: rx_d2 <= rx_d1 ;  ...
-enterStatement_item
-  File: uart.v , 71
-  Text: rx_d2 <= rx_d1 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 71
-  Text: rx_d2 <= rx_d1  ...
-enterVariable_lvalue
-  File: uart.v , 71
-  Text: rx_d2  ...
-enterHierarchical_identifier
-  File: uart.v , 71
-  Text: rx_d2  ...
-enterSelect
-  File: uart.v , 71
-  Text:  ...
-enterBit_select
-  File: uart.v , 71
-  Text:  ...
-enterExpression
-  File: uart.v , 71
-  Text: rx_d1  ...
-enterPrimary
-  File: uart.v , 71
-  Text: rx_d1  ...
-enterPrimary_literal
-  File: uart.v , 71
-  Text: rx_d1  ...
-enterIdentifier
-  File: uart.v , 71
-  Text: rx_d1  ...
-enterStatement_or_null
-  File: uart.v , 73
-  Text: if ( uld_rx_data ) b ...
-enterStatement
-  File: uart.v , 73
-  Text: if ( uld_rx_data ) b ...
-enterStatement_item
-  File: uart.v , 73
-  Text: if ( uld_rx_data ) b ...
-enterConditional_statement
-  File: uart.v , 73
-  Text: if ( uld_rx_data ) b ...
-enterCond_predicate
-  File: uart.v , 73
-  Text: uld_rx_data  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 73
-  Text: uld_rx_data  ...
-enterExpression
-  File: uart.v , 73
-  Text: uld_rx_data  ...
-enterPrimary
-  File: uart.v , 73
-  Text: uld_rx_data  ...
-enterPrimary_literal
-  File: uart.v , 73
-  Text: uld_rx_data  ...
-enterIdentifier
-  File: uart.v , 73
-  Text: uld_rx_data  ...
-enterStatement_or_null
-  File: uart.v , 73
-  Text: begin rx_data <= rx_ ...
-enterStatement
-  File: uart.v , 73
-  Text: begin rx_data <= rx_ ...
-enterStatement_item
-  File: uart.v , 73
-  Text: begin rx_data <= rx_ ...
-enterSeq_block
-  File: uart.v , 73
-  Text: begin rx_data <= rx_ ...
-enterStatement_or_null
-  File: uart.v , 74
-  Text: rx_data <= rx_reg ;  ...
-enterStatement
-  File: uart.v , 74
-  Text: rx_data <= rx_reg ;  ...
-enterStatement_item
-  File: uart.v , 74
-  Text: rx_data <= rx_reg ;  ...
-enterNonblocking_assignment
-  File: uart.v , 74
-  Text: rx_data <= rx_reg  ...
-enterVariable_lvalue
-  File: uart.v , 74
-  Text: rx_data  ...
-enterHierarchical_identifier
-  File: uart.v , 74
-  Text: rx_data  ...
-enterSelect
-  File: uart.v , 74
-  Text:  ...
-enterBit_select
-  File: uart.v , 74
-  Text:  ...
-enterExpression
-  File: uart.v , 74
-  Text: rx_reg  ...
-enterPrimary
-  File: uart.v , 74
-  Text: rx_reg  ...
-enterPrimary_literal
-  File: uart.v , 74
-  Text: rx_reg  ...
-enterIdentifier
-  File: uart.v , 74
-  Text: rx_reg  ...
-enterStatement_or_null
-  File: uart.v , 75
-  Text: rx_empty <= 1 ;  ...
-enterStatement
-  File: uart.v , 75
-  Text: rx_empty <= 1 ;  ...
-enterStatement_item
-  File: uart.v , 75
-  Text: rx_empty <= 1 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 75
-  Text: rx_empty <= 1  ...
-enterVariable_lvalue
-  File: uart.v , 75
-  Text: rx_empty  ...
-enterHierarchical_identifier
-  File: uart.v , 75
-  Text: rx_empty  ...
-enterSelect
-  File: uart.v , 75
-  Text:  ...
-enterBit_select
-  File: uart.v , 75
-  Text:  ...
-enterExpression
-  File: uart.v , 75
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 75
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 75
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 75
-  Text: 1  ...
-enterEnd
-  File: uart.v , 76
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 78
-  Text: if ( rx_enable ) beg ...
-enterStatement
-  File: uart.v , 78
-  Text: if ( rx_enable ) beg ...
-enterStatement_item
-  File: uart.v , 78
-  Text: if ( rx_enable ) beg ...
-enterConditional_statement
-  File: uart.v , 78
-  Text: if ( rx_enable ) beg ...
-enterCond_predicate
-  File: uart.v , 78
-  Text: rx_enable  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 78
-  Text: rx_enable  ...
-enterExpression
-  File: uart.v , 78
-  Text: rx_enable  ...
-enterPrimary
-  File: uart.v , 78
-  Text: rx_enable  ...
-enterPrimary_literal
-  File: uart.v , 78
-  Text: rx_enable  ...
-enterIdentifier
-  File: uart.v , 78
-  Text: rx_enable  ...
-enterStatement_or_null
-  File: uart.v , 78
-  Text: begin if ( ! rx_busy ...
-enterStatement
-  File: uart.v , 78
-  Text: begin if ( ! rx_busy ...
-enterStatement_item
-  File: uart.v , 78
-  Text: begin if ( ! rx_busy ...
-enterSeq_block
-  File: uart.v , 78
-  Text: begin if ( ! rx_busy ...
-enterStatement_or_null
-  File: uart.v , 80
-  Text: if ( ! rx_busy && !  ...
-enterStatement
-  File: uart.v , 80
-  Text: if ( ! rx_busy && !  ...
-enterStatement_item
-  File: uart.v , 80
-  Text: if ( ! rx_busy && !  ...
-enterConditional_statement
-  File: uart.v , 80
-  Text: if ( ! rx_busy && !  ...
-enterCond_predicate
-  File: uart.v , 80
-  Text: ! rx_busy && ! rx_d2 ...
-enterExpression_or_cond_pattern
-  File: uart.v , 80
-  Text: ! rx_busy && ! rx_d2 ...
-enterExpression
-  File: uart.v , 80
-  Text: ! rx_busy && ! rx_d2 ...
-enterExpression
-  File: uart.v , 80
-  Text: ! rx_busy  ...
-enterUnary_Not
-  File: uart.v , 80
-  Text: !  ...
-enterPrimary
-  File: uart.v , 80
-  Text: rx_busy  ...
-enterPrimary_literal
-  File: uart.v , 80
-  Text: rx_busy  ...
-enterIdentifier
-  File: uart.v , 80
-  Text: rx_busy  ...
-enterBinOp_LogicAnd
-  File: uart.v , 80
-  Text: &&  ...
-enterExpression
-  File: uart.v , 80
-  Text: ! rx_d2  ...
-enterUnary_Not
-  File: uart.v , 80
-  Text: !  ...
-enterPrimary
-  File: uart.v , 80
-  Text: rx_d2  ...
-enterPrimary_literal
-  File: uart.v , 80
-  Text: rx_d2  ...
-enterIdentifier
-  File: uart.v , 80
-  Text: rx_d2  ...
-enterStatement_or_null
-  File: uart.v , 80
-  Text: begin rx_busy <= 1 ; ...
-enterStatement
-  File: uart.v , 80
-  Text: begin rx_busy <= 1 ; ...
-enterStatement_item
-  File: uart.v , 80
-  Text: begin rx_busy <= 1 ; ...
-enterSeq_block
-  File: uart.v , 80
-  Text: begin rx_busy <= 1 ; ...
-enterStatement_or_null
-  File: uart.v , 81
-  Text: rx_busy <= 1 ;  ...
-enterStatement
-  File: uart.v , 81
-  Text: rx_busy <= 1 ;  ...
-enterStatement_item
-  File: uart.v , 81
-  Text: rx_busy <= 1 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 81
-  Text: rx_busy <= 1  ...
-enterVariable_lvalue
-  File: uart.v , 81
-  Text: rx_busy  ...
-enterHierarchical_identifier
-  File: uart.v , 81
-  Text: rx_busy  ...
-enterSelect
-  File: uart.v , 81
-  Text:  ...
-enterBit_select
-  File: uart.v , 81
-  Text:  ...
-enterExpression
-  File: uart.v , 81
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 81
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 81
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 81
-  Text: 1  ...
-enterStatement_or_null
-  File: uart.v , 82
-  Text: rx_sample_cnt <= 1 ; ...
-enterStatement
-  File: uart.v , 82
-  Text: rx_sample_cnt <= 1 ; ...
-enterStatement_item
-  File: uart.v , 82
-  Text: rx_sample_cnt <= 1 ; ...
-enterNonblocking_assignment
-  File: uart.v , 82
-  Text: rx_sample_cnt <= 1  ...
-enterVariable_lvalue
-  File: uart.v , 82
-  Text: rx_sample_cnt  ...
-enterHierarchical_identifier
-  File: uart.v , 82
-  Text: rx_sample_cnt  ...
-enterSelect
-  File: uart.v , 82
-  Text:  ...
-enterBit_select
-  File: uart.v , 82
-  Text:  ...
-enterExpression
-  File: uart.v , 82
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 82
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 82
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 82
-  Text: 1  ...
-enterStatement_or_null
-  File: uart.v , 83
-  Text: rx_cnt <= 0 ;  ...
-enterStatement
-  File: uart.v , 83
-  Text: rx_cnt <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 83
-  Text: rx_cnt <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 83
-  Text: rx_cnt <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 83
-  Text: rx_cnt  ...
-enterHierarchical_identifier
-  File: uart.v , 83
-  Text: rx_cnt  ...
-enterSelect
-  File: uart.v , 83
-  Text:  ...
-enterBit_select
-  File: uart.v , 83
-  Text:  ...
-enterExpression
-  File: uart.v , 83
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 83
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 83
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 83
-  Text: 0  ...
-enterEnd
-  File: uart.v , 84
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 86
-  Text: if ( rx_busy ) begin ...
-enterStatement
-  File: uart.v , 86
-  Text: if ( rx_busy ) begin ...
-enterStatement_item
-  File: uart.v , 86
-  Text: if ( rx_busy ) begin ...
-enterConditional_statement
-  File: uart.v , 86
-  Text: if ( rx_busy ) begin ...
-enterCond_predicate
-  File: uart.v , 86
-  Text: rx_busy  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 86
-  Text: rx_busy  ...
-enterExpression
-  File: uart.v , 86
-  Text: rx_busy  ...
-enterPrimary
-  File: uart.v , 86
-  Text: rx_busy  ...
-enterPrimary_literal
-  File: uart.v , 86
-  Text: rx_busy  ...
-enterIdentifier
-  File: uart.v , 86
-  Text: rx_busy  ...
-enterStatement_or_null
-  File: uart.v , 86
-  Text: begin rx_sample_cnt  ...
-enterStatement
-  File: uart.v , 86
-  Text: begin rx_sample_cnt  ...
-enterStatement_item
-  File: uart.v , 86
-  Text: begin rx_sample_cnt  ...
-enterSeq_block
-  File: uart.v , 86
-  Text: begin rx_sample_cnt  ...
-enterStatement_or_null
-  File: uart.v , 87
-  Text: rx_sample_cnt <= rx_ ...
-enterStatement
-  File: uart.v , 87
-  Text: rx_sample_cnt <= rx_ ...
-enterStatement_item
-  File: uart.v , 87
-  Text: rx_sample_cnt <= rx_ ...
-enterNonblocking_assignment
-  File: uart.v , 87
-  Text: rx_sample_cnt <= rx_ ...
-enterVariable_lvalue
-  File: uart.v , 87
-  Text: rx_sample_cnt  ...
-enterHierarchical_identifier
-  File: uart.v , 87
-  Text: rx_sample_cnt  ...
-enterSelect
-  File: uart.v , 87
-  Text:  ...
-enterBit_select
-  File: uart.v , 87
-  Text:  ...
-enterExpression
-  File: uart.v , 87
-  Text: rx_sample_cnt + 1  ...
-enterExpression
-  File: uart.v , 87
-  Text: rx_sample_cnt  ...
-enterPrimary
-  File: uart.v , 87
-  Text: rx_sample_cnt  ...
-enterPrimary_literal
-  File: uart.v , 87
-  Text: rx_sample_cnt  ...
-enterIdentifier
-  File: uart.v , 87
-  Text: rx_sample_cnt  ...
-enterBinOp_Plus
-  File: uart.v , 87
-  Text: +  ...
-enterExpression
-  File: uart.v , 87
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 87
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 87
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 87
-  Text: 1  ...
-enterStatement_or_null
-  File: uart.v , 89
-  Text: if ( rx_sample_cnt = ...
-enterStatement
-  File: uart.v , 89
-  Text: if ( rx_sample_cnt = ...
-enterStatement_item
-  File: uart.v , 89
-  Text: if ( rx_sample_cnt = ...
-enterConditional_statement
-  File: uart.v , 89
-  Text: if ( rx_sample_cnt = ...
-enterCond_predicate
-  File: uart.v , 89
-  Text: rx_sample_cnt == 7  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 89
-  Text: rx_sample_cnt == 7  ...
-enterExpression
-  File: uart.v , 89
-  Text: rx_sample_cnt == 7  ...
-enterExpression
-  File: uart.v , 89
-  Text: rx_sample_cnt  ...
-enterPrimary
-  File: uart.v , 89
-  Text: rx_sample_cnt  ...
-enterPrimary_literal
-  File: uart.v , 89
-  Text: rx_sample_cnt  ...
-enterIdentifier
-  File: uart.v , 89
-  Text: rx_sample_cnt  ...
-enterBinOp_Equiv
-  File: uart.v , 89
-  Text: ==  ...
-enterExpression
-  File: uart.v , 89
-  Text: 7  ...
-enterPrimary
-  File: uart.v , 89
-  Text: 7  ...
-enterPrimary_literal
-  File: uart.v , 89
-  Text: 7  ...
-enterNumber_Integral
-  File: uart.v , 89
-  Text: 7  ...
-enterStatement_or_null
-  File: uart.v , 89
-  Text: begin if ( ( rx_d2 = ...
-enterStatement
-  File: uart.v , 89
-  Text: begin if ( ( rx_d2 = ...
-enterStatement_item
-  File: uart.v , 89
-  Text: begin if ( ( rx_d2 = ...
-enterSeq_block
-  File: uart.v , 89
-  Text: begin if ( ( rx_d2 = ...
-enterStatement_or_null
-  File: uart.v , 90
-  Text: if ( ( rx_d2 == 1 )  ...
-enterStatement
-  File: uart.v , 90
-  Text: if ( ( rx_d2 == 1 )  ...
-enterStatement_item
-  File: uart.v , 90
-  Text: if ( ( rx_d2 == 1 )  ...
-enterConditional_statement
-  File: uart.v , 90
-  Text: if ( ( rx_d2 == 1 )  ...
-enterCond_predicate
-  File: uart.v , 90
-  Text: ( rx_d2 == 1 ) && (  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 90
-  Text: ( rx_d2 == 1 ) && (  ...
-enterExpression
-  File: uart.v , 90
-  Text: ( rx_d2 == 1 ) && (  ...
-enterExpression
-  File: uart.v , 90
-  Text: ( rx_d2 == 1 )  ...
-enterPrimary
-  File: uart.v , 90
-  Text: ( rx_d2 == 1 )  ...
-enterMintypmax_expression
-  File: uart.v , 90
-  Text: rx_d2 == 1  ...
-enterExpression
-  File: uart.v , 90
-  Text: rx_d2 == 1  ...
-enterExpression
-  File: uart.v , 90
-  Text: rx_d2  ...
-enterPrimary
-  File: uart.v , 90
-  Text: rx_d2  ...
-enterPrimary_literal
-  File: uart.v , 90
-  Text: rx_d2  ...
-enterIdentifier
-  File: uart.v , 90
-  Text: rx_d2  ...
-enterBinOp_Equiv
-  File: uart.v , 90
-  Text: ==  ...
-enterExpression
-  File: uart.v , 90
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 90
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 90
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 90
-  Text: 1  ...
-enterBinOp_LogicAnd
-  File: uart.v , 90
-  Text: &&  ...
-enterExpression
-  File: uart.v , 90
-  Text: ( rx_cnt == 0 )  ...
-enterPrimary
-  File: uart.v , 90
-  Text: ( rx_cnt == 0 )  ...
-enterMintypmax_expression
-  File: uart.v , 90
-  Text: rx_cnt == 0  ...
-enterExpression
-  File: uart.v , 90
-  Text: rx_cnt == 0  ...
-enterExpression
-  File: uart.v , 90
-  Text: rx_cnt  ...
-enterPrimary
-  File: uart.v , 90
-  Text: rx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 90
-  Text: rx_cnt  ...
-enterIdentifier
-  File: uart.v , 90
-  Text: rx_cnt  ...
-enterBinOp_Equiv
-  File: uart.v , 90
-  Text: ==  ...
-enterExpression
-  File: uart.v , 90
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 90
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 90
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 90
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 90
-  Text: begin rx_busy <= 0 ; ...
-enterStatement
-  File: uart.v , 90
-  Text: begin rx_busy <= 0 ; ...
-enterStatement_item
-  File: uart.v , 90
-  Text: begin rx_busy <= 0 ; ...
-enterSeq_block
-  File: uart.v , 90
-  Text: begin rx_busy <= 0 ; ...
-enterStatement_or_null
-  File: uart.v , 91
-  Text: rx_busy <= 0 ;  ...
-enterStatement
-  File: uart.v , 91
-  Text: rx_busy <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 91
-  Text: rx_busy <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 91
-  Text: rx_busy <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 91
-  Text: rx_busy  ...
-enterHierarchical_identifier
-  File: uart.v , 91
-  Text: rx_busy  ...
-enterSelect
-  File: uart.v , 91
-  Text:  ...
-enterBit_select
-  File: uart.v , 91
-  Text:  ...
-enterExpression
-  File: uart.v , 91
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 91
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 91
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 91
-  Text: 0  ...
-enterEnd
-  File: uart.v , 92
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 92
-  Text: begin rx_cnt <= rx_c ...
-enterStatement
-  File: uart.v , 92
-  Text: begin rx_cnt <= rx_c ...
-enterStatement_item
-  File: uart.v , 92
-  Text: begin rx_cnt <= rx_c ...
-enterSeq_block
-  File: uart.v , 92
-  Text: begin rx_cnt <= rx_c ...
-enterStatement_or_null
-  File: uart.v , 93
-  Text: rx_cnt <= rx_cnt + 1 ...
-enterStatement
-  File: uart.v , 93
-  Text: rx_cnt <= rx_cnt + 1 ...
-enterStatement_item
-  File: uart.v , 93
-  Text: rx_cnt <= rx_cnt + 1 ...
-enterNonblocking_assignment
-  File: uart.v , 93
-  Text: rx_cnt <= rx_cnt + 1 ...
-enterVariable_lvalue
-  File: uart.v , 93
-  Text: rx_cnt  ...
-enterHierarchical_identifier
-  File: uart.v , 93
-  Text: rx_cnt  ...
-enterSelect
-  File: uart.v , 93
-  Text:  ...
-enterBit_select
-  File: uart.v , 93
-  Text:  ...
-enterExpression
-  File: uart.v , 93
-  Text: rx_cnt + 1  ...
-enterExpression
-  File: uart.v , 93
-  Text: rx_cnt  ...
-enterPrimary
-  File: uart.v , 93
-  Text: rx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 93
-  Text: rx_cnt  ...
-enterIdentifier
-  File: uart.v , 93
-  Text: rx_cnt  ...
-enterBinOp_Plus
-  File: uart.v , 93
-  Text: +  ...
-enterExpression
-  File: uart.v , 93
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 93
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 93
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 93
-  Text: 1  ...
-enterStatement_or_null
-  File: uart.v , 95
-  Text: if ( rx_cnt > 0 && r ...
-enterStatement
-  File: uart.v , 95
-  Text: if ( rx_cnt > 0 && r ...
-enterStatement_item
-  File: uart.v , 95
-  Text: if ( rx_cnt > 0 && r ...
-enterConditional_statement
-  File: uart.v , 95
-  Text: if ( rx_cnt > 0 && r ...
-enterCond_predicate
-  File: uart.v , 95
-  Text: rx_cnt > 0 && rx_cnt ...
-enterExpression_or_cond_pattern
-  File: uart.v , 95
-  Text: rx_cnt > 0 && rx_cnt ...
-enterExpression
-  File: uart.v , 95
-  Text: rx_cnt > 0 && rx_cnt ...
-enterExpression
-  File: uart.v , 95
-  Text: rx_cnt > 0 && rx_cnt ...
-enterExpression
-  File: uart.v , 95
-  Text: rx_cnt > 0  ...
-enterExpression
-  File: uart.v , 95
-  Text: rx_cnt  ...
-enterPrimary
-  File: uart.v , 95
-  Text: rx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 95
-  Text: rx_cnt  ...
-enterIdentifier
-  File: uart.v , 95
-  Text: rx_cnt  ...
-enterBinOp_Great
-  File: uart.v , 95
-  Text: >  ...
-enterExpression
-  File: uart.v , 95
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 95
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 95
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 95
-  Text: 0  ...
-enterBinOp_LogicAnd
-  File: uart.v , 95
-  Text: &&  ...
-enterExpression
-  File: uart.v , 95
-  Text: rx_cnt  ...
-enterPrimary
-  File: uart.v , 95
-  Text: rx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 95
-  Text: rx_cnt  ...
-enterIdentifier
-  File: uart.v , 95
-  Text: rx_cnt  ...
-enterBinOp_Less
-  File: uart.v , 95
-  Text: <  ...
-enterExpression
-  File: uart.v , 95
-  Text: 9  ...
-enterPrimary
-  File: uart.v , 95
-  Text: 9  ...
-enterPrimary_literal
-  File: uart.v , 95
-  Text: 9  ...
-enterNumber_Integral
-  File: uart.v , 95
-  Text: 9  ...
-enterStatement_or_null
-  File: uart.v , 95
-  Text: begin rx_reg [ rx_cn ...
-enterStatement
-  File: uart.v , 95
-  Text: begin rx_reg [ rx_cn ...
-enterStatement_item
-  File: uart.v , 95
-  Text: begin rx_reg [ rx_cn ...
-enterSeq_block
-  File: uart.v , 95
-  Text: begin rx_reg [ rx_cn ...
-enterStatement_or_null
-  File: uart.v , 96
-  Text: rx_reg [ rx_cnt - 1  ...
-enterStatement
-  File: uart.v , 96
-  Text: rx_reg [ rx_cnt - 1  ...
-enterStatement_item
-  File: uart.v , 96
-  Text: rx_reg [ rx_cnt - 1  ...
-enterNonblocking_assignment
-  File: uart.v , 96
-  Text: rx_reg [ rx_cnt - 1  ...
-enterVariable_lvalue
-  File: uart.v , 96
-  Text: rx_reg [ rx_cnt - 1  ...
-enterHierarchical_identifier
-  File: uart.v , 96
-  Text: rx_reg  ...
-enterSelect
-  File: uart.v , 96
-  Text: [ rx_cnt - 1 ]  ...
-enterBit_select
-  File: uart.v , 96
-  Text: [ rx_cnt - 1 ]  ...
-enterExpression
-  File: uart.v , 96
-  Text: rx_cnt - 1  ...
-enterExpression
-  File: uart.v , 96
-  Text: rx_cnt  ...
-enterPrimary
-  File: uart.v , 96
-  Text: rx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 96
-  Text: rx_cnt  ...
-enterIdentifier
-  File: uart.v , 96
-  Text: rx_cnt  ...
-enterBinOp_Minus
-  File: uart.v , 96
-  Text: -  ...
-enterExpression
-  File: uart.v , 96
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 96
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 96
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 96
-  Text: 1  ...
-enterExpression
-  File: uart.v , 96
-  Text: rx_d2  ...
-enterPrimary
-  File: uart.v , 96
-  Text: rx_d2  ...
-enterPrimary_literal
-  File: uart.v , 96
-  Text: rx_d2  ...
-enterIdentifier
-  File: uart.v , 96
-  Text: rx_d2  ...
-enterEnd
-  File: uart.v , 97
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 98
-  Text: if ( rx_cnt == 9 ) b ...
-enterStatement
-  File: uart.v , 98
-  Text: if ( rx_cnt == 9 ) b ...
-enterStatement_item
-  File: uart.v , 98
-  Text: if ( rx_cnt == 9 ) b ...
-enterConditional_statement
-  File: uart.v , 98
-  Text: if ( rx_cnt == 9 ) b ...
-enterCond_predicate
-  File: uart.v , 98
-  Text: rx_cnt == 9  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 98
-  Text: rx_cnt == 9  ...
-enterExpression
-  File: uart.v , 98
-  Text: rx_cnt == 9  ...
-enterExpression
-  File: uart.v , 98
-  Text: rx_cnt  ...
-enterPrimary
-  File: uart.v , 98
-  Text: rx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 98
-  Text: rx_cnt  ...
-enterIdentifier
-  File: uart.v , 98
-  Text: rx_cnt  ...
-enterBinOp_Equiv
-  File: uart.v , 98
-  Text: ==  ...
-enterExpression
-  File: uart.v , 98
-  Text: 9  ...
-enterPrimary
-  File: uart.v , 98
-  Text: 9  ...
-enterPrimary_literal
-  File: uart.v , 98
-  Text: 9  ...
-enterNumber_Integral
-  File: uart.v , 98
-  Text: 9  ...
-enterStatement_or_null
-  File: uart.v , 98
-  Text: begin rx_busy <= 0 ; ...
-enterStatement
-  File: uart.v , 98
-  Text: begin rx_busy <= 0 ; ...
-enterStatement_item
-  File: uart.v , 98
-  Text: begin rx_busy <= 0 ; ...
-enterSeq_block
-  File: uart.v , 98
-  Text: begin rx_busy <= 0 ; ...
-enterStatement_or_null
-  File: uart.v , 99
-  Text: rx_busy <= 0 ;  ...
-enterStatement
-  File: uart.v , 99
-  Text: rx_busy <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 99
-  Text: rx_busy <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 99
-  Text: rx_busy <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 99
-  Text: rx_busy  ...
-enterHierarchical_identifier
-  File: uart.v , 99
-  Text: rx_busy  ...
-enterSelect
-  File: uart.v , 99
-  Text:  ...
-enterBit_select
-  File: uart.v , 99
-  Text:  ...
-enterExpression
-  File: uart.v , 99
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 99
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 99
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 99
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 101
-  Text: if ( rx_d2 == 0 ) be ...
-enterStatement
-  File: uart.v , 101
-  Text: if ( rx_d2 == 0 ) be ...
-enterStatement_item
-  File: uart.v , 101
-  Text: if ( rx_d2 == 0 ) be ...
-enterConditional_statement
-  File: uart.v , 101
-  Text: if ( rx_d2 == 0 ) be ...
-enterCond_predicate
-  File: uart.v , 101
-  Text: rx_d2 == 0  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 101
-  Text: rx_d2 == 0  ...
-enterExpression
-  File: uart.v , 101
-  Text: rx_d2 == 0  ...
-enterExpression
-  File: uart.v , 101
-  Text: rx_d2  ...
-enterPrimary
-  File: uart.v , 101
-  Text: rx_d2  ...
-enterPrimary_literal
-  File: uart.v , 101
-  Text: rx_d2  ...
-enterIdentifier
-  File: uart.v , 101
-  Text: rx_d2  ...
-enterBinOp_Equiv
-  File: uart.v , 101
-  Text: ==  ...
-enterExpression
-  File: uart.v , 101
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 101
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 101
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 101
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 101
-  Text: begin rx_frame_err < ...
-enterStatement
-  File: uart.v , 101
-  Text: begin rx_frame_err < ...
-enterStatement_item
-  File: uart.v , 101
-  Text: begin rx_frame_err < ...
-enterSeq_block
-  File: uart.v , 101
-  Text: begin rx_frame_err < ...
-enterStatement_or_null
-  File: uart.v , 102
-  Text: rx_frame_err <= 1 ;  ...
-enterStatement
-  File: uart.v , 102
-  Text: rx_frame_err <= 1 ;  ...
-enterStatement_item
-  File: uart.v , 102
-  Text: rx_frame_err <= 1 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 102
-  Text: rx_frame_err <= 1  ...
-enterVariable_lvalue
-  File: uart.v , 102
-  Text: rx_frame_err  ...
-enterHierarchical_identifier
-  File: uart.v , 102
-  Text: rx_frame_err  ...
-enterSelect
-  File: uart.v , 102
-  Text:  ...
-enterBit_select
-  File: uart.v , 102
-  Text:  ...
-enterExpression
-  File: uart.v , 102
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 102
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 102
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 102
-  Text: 1  ...
-enterEnd
-  File: uart.v , 103
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 103
-  Text: begin rx_empty <= 0  ...
-enterStatement
-  File: uart.v , 103
-  Text: begin rx_empty <= 0  ...
-enterStatement_item
-  File: uart.v , 103
-  Text: begin rx_empty <= 0  ...
-enterSeq_block
-  File: uart.v , 103
-  Text: begin rx_empty <= 0  ...
-enterStatement_or_null
-  File: uart.v , 104
-  Text: rx_empty <= 0 ;  ...
-enterStatement
-  File: uart.v , 104
-  Text: rx_empty <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 104
-  Text: rx_empty <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 104
-  Text: rx_empty <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 104
-  Text: rx_empty  ...
-enterHierarchical_identifier
-  File: uart.v , 104
-  Text: rx_empty  ...
-enterSelect
-  File: uart.v , 104
-  Text:  ...
-enterBit_select
-  File: uart.v , 104
-  Text:  ...
-enterExpression
-  File: uart.v , 104
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 104
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 104
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 104
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 105
-  Text: rx_frame_err <= 0 ;  ...
-enterStatement
-  File: uart.v , 105
-  Text: rx_frame_err <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 105
-  Text: rx_frame_err <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 105
-  Text: rx_frame_err <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 105
-  Text: rx_frame_err  ...
-enterHierarchical_identifier
-  File: uart.v , 105
-  Text: rx_frame_err  ...
-enterSelect
-  File: uart.v , 105
-  Text:  ...
-enterBit_select
-  File: uart.v , 105
-  Text:  ...
-enterExpression
-  File: uart.v , 105
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 105
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 105
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 105
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 107
-  Text: rx_over_run <= ( rx_ ...
-enterStatement
-  File: uart.v , 107
-  Text: rx_over_run <= ( rx_ ...
-enterStatement_item
-  File: uart.v , 107
-  Text: rx_over_run <= ( rx_ ...
-enterNonblocking_assignment
-  File: uart.v , 107
-  Text: rx_over_run <= ( rx_ ...
-enterVariable_lvalue
-  File: uart.v , 107
-  Text: rx_over_run  ...
-enterHierarchical_identifier
-  File: uart.v , 107
-  Text: rx_over_run  ...
-enterSelect
-  File: uart.v , 107
-  Text:  ...
-enterBit_select
-  File: uart.v , 107
-  Text:  ...
-enterExpression
-  File: uart.v , 107
-  Text: ( rx_empty ) ? 0 : 1 ...
-enterExpression
-  File: uart.v , 107
-  Text: ( rx_empty )  ...
-enterPrimary
-  File: uart.v , 107
-  Text: ( rx_empty )  ...
-enterMintypmax_expression
-  File: uart.v , 107
-  Text: rx_empty  ...
-enterExpression
-  File: uart.v , 107
-  Text: rx_empty  ...
-enterPrimary
-  File: uart.v , 107
-  Text: rx_empty  ...
-enterPrimary_literal
-  File: uart.v , 107
-  Text: rx_empty  ...
-enterIdentifier
-  File: uart.v , 107
-  Text: rx_empty  ...
-enterExpression
-  File: uart.v , 107
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 107
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 107
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 107
-  Text: 0  ...
-enterExpression
-  File: uart.v , 107
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 107
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 107
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 107
-  Text: 1  ...
-enterEnd
-  File: uart.v , 108
-  Text: end  ...
-enterEnd
-  File: uart.v , 109
-  Text: end  ...
-enterEnd
-  File: uart.v , 110
-  Text: end  ...
-enterEnd
-  File: uart.v , 111
-  Text: end  ...
-enterEnd
-  File: uart.v , 112
-  Text: end  ...
-enterEnd
-  File: uart.v , 113
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 114
-  Text: if ( ! rx_enable ) b ...
-enterStatement
-  File: uart.v , 114
-  Text: if ( ! rx_enable ) b ...
-enterStatement_item
-  File: uart.v , 114
-  Text: if ( ! rx_enable ) b ...
-enterConditional_statement
-  File: uart.v , 114
-  Text: if ( ! rx_enable ) b ...
-enterCond_predicate
-  File: uart.v , 114
-  Text: ! rx_enable  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 114
-  Text: ! rx_enable  ...
-enterExpression
-  File: uart.v , 114
-  Text: ! rx_enable  ...
-enterUnary_Not
-  File: uart.v , 114
-  Text: !  ...
-enterPrimary
-  File: uart.v , 114
-  Text: rx_enable  ...
-enterPrimary_literal
-  File: uart.v , 114
-  Text: rx_enable  ...
-enterIdentifier
-  File: uart.v , 114
-  Text: rx_enable  ...
-enterStatement_or_null
-  File: uart.v , 114
-  Text: begin rx_busy <= 0 ; ...
-enterStatement
-  File: uart.v , 114
-  Text: begin rx_busy <= 0 ; ...
-enterStatement_item
-  File: uart.v , 114
-  Text: begin rx_busy <= 0 ; ...
-enterSeq_block
-  File: uart.v , 114
-  Text: begin rx_busy <= 0 ; ...
-enterStatement_or_null
-  File: uart.v , 115
-  Text: rx_busy <= 0 ;  ...
-enterStatement
-  File: uart.v , 115
-  Text: rx_busy <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 115
-  Text: rx_busy <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 115
-  Text: rx_busy <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 115
-  Text: rx_busy  ...
-enterHierarchical_identifier
-  File: uart.v , 115
-  Text: rx_busy  ...
-enterSelect
-  File: uart.v , 115
-  Text:  ...
-enterBit_select
-  File: uart.v , 115
-  Text:  ...
-enterExpression
-  File: uart.v , 115
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 115
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 115
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 115
-  Text: 0  ...
-enterEnd
-  File: uart.v , 116
-  Text: end  ...
-enterEnd
-  File: uart.v , 117
-  Text: end  ...
-enterModule_item
-  File: uart.v , 120
-  Text: always @ ( posedge t ...
-enterNon_port_module_item
-  File: uart.v , 120
-  Text: always @ ( posedge t ...
-enterModule_or_generate_item
-  File: uart.v , 120
-  Text: always @ ( posedge t ...
-enterModule_common_item
-  File: uart.v , 120
-  Text: always @ ( posedge t ...
-enterAlways_construct
-  File: uart.v , 120
-  Text: always @ ( posedge t ...
-enterAlwaysKeywd_Always
-  File: uart.v , 120
-  Text: always  ...
-enterStatement
-  File: uart.v , 120
-  Text: @ ( posedge txclk or ...
-enterStatement_item
-  File: uart.v , 120
-  Text: @ ( posedge txclk or ...
-enterProcedural_timing_control_statement
-  File: uart.v , 120
-  Text: @ ( posedge txclk or ...
-enterProcedural_timing_control
-  File: uart.v , 120
-  Text: @ ( posedge txclk or ...
-enterEvent_control
-  File: uart.v , 120
-  Text: @ ( posedge txclk or ...
-enterEvent_expression
-  File: uart.v , 120
-  Text: posedge txclk or pos ...
-enterEvent_expression
-  File: uart.v , 120
-  Text: posedge txclk  ...
-enterEdge_Posedge
-  File: uart.v , 120
-  Text: posedge  ...
-enterExpression
-  File: uart.v , 120
-  Text: txclk  ...
-enterPrimary
-  File: uart.v , 120
-  Text: txclk  ...
-enterPrimary_literal
-  File: uart.v , 120
-  Text: txclk  ...
-enterIdentifier
-  File: uart.v , 120
-  Text: txclk  ...
-enterEvent_expression
-  File: uart.v , 120
-  Text: posedge reset  ...
-enterEdge_Posedge
-  File: uart.v , 120
-  Text: posedge  ...
-enterExpression
-  File: uart.v , 120
-  Text: reset  ...
-enterPrimary
-  File: uart.v , 120
-  Text: reset  ...
-enterPrimary_literal
-  File: uart.v , 120
-  Text: reset  ...
-enterIdentifier
-  File: uart.v , 120
-  Text: reset  ...
-enterStatement_or_null
-  File: uart.v , 121
-  Text: if ( reset ) begin t ...
-enterStatement
-  File: uart.v , 121
-  Text: if ( reset ) begin t ...
-enterStatement_item
-  File: uart.v , 121
-  Text: if ( reset ) begin t ...
-enterConditional_statement
-  File: uart.v , 121
-  Text: if ( reset ) begin t ...
-enterCond_predicate
-  File: uart.v , 121
-  Text: reset  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 121
-  Text: reset  ...
-enterExpression
-  File: uart.v , 121
-  Text: reset  ...
-enterPrimary
-  File: uart.v , 121
-  Text: reset  ...
-enterPrimary_literal
-  File: uart.v , 121
-  Text: reset  ...
-enterIdentifier
-  File: uart.v , 121
-  Text: reset  ...
-enterStatement_or_null
-  File: uart.v , 121
-  Text: begin tx_reg <= 0 ;  ...
-enterStatement
-  File: uart.v , 121
-  Text: begin tx_reg <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 121
-  Text: begin tx_reg <= 0 ;  ...
-enterSeq_block
-  File: uart.v , 121
-  Text: begin tx_reg <= 0 ;  ...
-enterStatement_or_null
-  File: uart.v , 122
-  Text: tx_reg <= 0 ;  ...
-enterStatement
-  File: uart.v , 122
-  Text: tx_reg <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 122
-  Text: tx_reg <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 122
-  Text: tx_reg <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 122
-  Text: tx_reg  ...
-enterHierarchical_identifier
-  File: uart.v , 122
-  Text: tx_reg  ...
-enterSelect
-  File: uart.v , 122
-  Text:  ...
-enterBit_select
-  File: uart.v , 122
-  Text:  ...
-enterExpression
-  File: uart.v , 122
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 122
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 122
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 122
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 123
-  Text: tx_empty <= 1 ;  ...
-enterStatement
-  File: uart.v , 123
-  Text: tx_empty <= 1 ;  ...
-enterStatement_item
-  File: uart.v , 123
-  Text: tx_empty <= 1 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 123
-  Text: tx_empty <= 1  ...
-enterVariable_lvalue
-  File: uart.v , 123
-  Text: tx_empty  ...
-enterHierarchical_identifier
-  File: uart.v , 123
-  Text: tx_empty  ...
-enterSelect
-  File: uart.v , 123
-  Text:  ...
-enterBit_select
-  File: uart.v , 123
-  Text:  ...
-enterExpression
-  File: uart.v , 123
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 123
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 123
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 123
-  Text: 1  ...
-enterStatement_or_null
-  File: uart.v , 124
-  Text: tx_over_run <= 0 ;  ...
-enterStatement
-  File: uart.v , 124
-  Text: tx_over_run <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 124
-  Text: tx_over_run <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 124
-  Text: tx_over_run <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 124
-  Text: tx_over_run  ...
-enterHierarchical_identifier
-  File: uart.v , 124
-  Text: tx_over_run  ...
-enterSelect
-  File: uart.v , 124
-  Text:  ...
-enterBit_select
-  File: uart.v , 124
-  Text:  ...
-enterExpression
-  File: uart.v , 124
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 124
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 124
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 124
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 125
-  Text: tx_out <= 1 ;  ...
-enterStatement
-  File: uart.v , 125
-  Text: tx_out <= 1 ;  ...
-enterStatement_item
-  File: uart.v , 125
-  Text: tx_out <= 1 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 125
-  Text: tx_out <= 1  ...
-enterVariable_lvalue
-  File: uart.v , 125
-  Text: tx_out  ...
-enterHierarchical_identifier
-  File: uart.v , 125
-  Text: tx_out  ...
-enterSelect
-  File: uart.v , 125
-  Text:  ...
-enterBit_select
-  File: uart.v , 125
-  Text:  ...
-enterExpression
-  File: uart.v , 125
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 125
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 125
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 125
-  Text: 1  ...
-enterStatement_or_null
-  File: uart.v , 126
-  Text: tx_cnt <= 0 ;  ...
-enterStatement
-  File: uart.v , 126
-  Text: tx_cnt <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 126
-  Text: tx_cnt <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 126
-  Text: tx_cnt <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 126
-  Text: tx_cnt  ...
-enterHierarchical_identifier
-  File: uart.v , 126
-  Text: tx_cnt  ...
-enterSelect
-  File: uart.v , 126
-  Text:  ...
-enterBit_select
-  File: uart.v , 126
-  Text:  ...
-enterExpression
-  File: uart.v , 126
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 126
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 126
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 126
-  Text: 0  ...
-enterEnd
-  File: uart.v , 127
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 127
-  Text: begin if ( ld_tx_dat ...
-enterStatement
-  File: uart.v , 127
-  Text: begin if ( ld_tx_dat ...
-enterStatement_item
-  File: uart.v , 127
-  Text: begin if ( ld_tx_dat ...
-enterSeq_block
-  File: uart.v , 127
-  Text: begin if ( ld_tx_dat ...
-enterStatement_or_null
-  File: uart.v , 128
-  Text: if ( ld_tx_data ) be ...
-enterStatement
-  File: uart.v , 128
-  Text: if ( ld_tx_data ) be ...
-enterStatement_item
-  File: uart.v , 128
-  Text: if ( ld_tx_data ) be ...
-enterConditional_statement
-  File: uart.v , 128
-  Text: if ( ld_tx_data ) be ...
-enterCond_predicate
-  File: uart.v , 128
-  Text: ld_tx_data  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 128
-  Text: ld_tx_data  ...
-enterExpression
-  File: uart.v , 128
-  Text: ld_tx_data  ...
-enterPrimary
-  File: uart.v , 128
-  Text: ld_tx_data  ...
-enterPrimary_literal
-  File: uart.v , 128
-  Text: ld_tx_data  ...
-enterIdentifier
-  File: uart.v , 128
-  Text: ld_tx_data  ...
-enterStatement_or_null
-  File: uart.v , 128
-  Text: begin if ( ! tx_empt ...
-enterStatement
-  File: uart.v , 128
-  Text: begin if ( ! tx_empt ...
-enterStatement_item
-  File: uart.v , 128
-  Text: begin if ( ! tx_empt ...
-enterSeq_block
-  File: uart.v , 128
-  Text: begin if ( ! tx_empt ...
-enterStatement_or_null
-  File: uart.v , 129
-  Text: if ( ! tx_empty ) be ...
-enterStatement
-  File: uart.v , 129
-  Text: if ( ! tx_empty ) be ...
-enterStatement_item
-  File: uart.v , 129
-  Text: if ( ! tx_empty ) be ...
-enterConditional_statement
-  File: uart.v , 129
-  Text: if ( ! tx_empty ) be ...
-enterCond_predicate
-  File: uart.v , 129
-  Text: ! tx_empty  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 129
-  Text: ! tx_empty  ...
-enterExpression
-  File: uart.v , 129
-  Text: ! tx_empty  ...
-enterUnary_Not
-  File: uart.v , 129
-  Text: !  ...
-enterPrimary
-  File: uart.v , 129
-  Text: tx_empty  ...
-enterPrimary_literal
-  File: uart.v , 129
-  Text: tx_empty  ...
-enterIdentifier
-  File: uart.v , 129
-  Text: tx_empty  ...
-enterStatement_or_null
-  File: uart.v , 129
-  Text: begin tx_over_run <= ...
-enterStatement
-  File: uart.v , 129
-  Text: begin tx_over_run <= ...
-enterStatement_item
-  File: uart.v , 129
-  Text: begin tx_over_run <= ...
-enterSeq_block
-  File: uart.v , 129
-  Text: begin tx_over_run <= ...
-enterStatement_or_null
-  File: uart.v , 130
-  Text: tx_over_run <= 0 ;  ...
-enterStatement
-  File: uart.v , 130
-  Text: tx_over_run <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 130
-  Text: tx_over_run <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 130
-  Text: tx_over_run <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 130
-  Text: tx_over_run  ...
-enterHierarchical_identifier
-  File: uart.v , 130
-  Text: tx_over_run  ...
-enterSelect
-  File: uart.v , 130
-  Text:  ...
-enterBit_select
-  File: uart.v , 130
-  Text:  ...
-enterExpression
-  File: uart.v , 130
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 130
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 130
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 130
-  Text: 0  ...
-enterEnd
-  File: uart.v , 131
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 131
-  Text: begin tx_reg <= tx_d ...
-enterStatement
-  File: uart.v , 131
-  Text: begin tx_reg <= tx_d ...
-enterStatement_item
-  File: uart.v , 131
-  Text: begin tx_reg <= tx_d ...
-enterSeq_block
-  File: uart.v , 131
-  Text: begin tx_reg <= tx_d ...
-enterStatement_or_null
-  File: uart.v , 132
-  Text: tx_reg <= tx_data ;  ...
-enterStatement
-  File: uart.v , 132
-  Text: tx_reg <= tx_data ;  ...
-enterStatement_item
-  File: uart.v , 132
-  Text: tx_reg <= tx_data ;  ...
-enterNonblocking_assignment
-  File: uart.v , 132
-  Text: tx_reg <= tx_data  ...
-enterVariable_lvalue
-  File: uart.v , 132
-  Text: tx_reg  ...
-enterHierarchical_identifier
-  File: uart.v , 132
-  Text: tx_reg  ...
-enterSelect
-  File: uart.v , 132
-  Text:  ...
-enterBit_select
-  File: uart.v , 132
-  Text:  ...
-enterExpression
-  File: uart.v , 132
-  Text: tx_data  ...
-enterPrimary
-  File: uart.v , 132
-  Text: tx_data  ...
-enterPrimary_literal
-  File: uart.v , 132
-  Text: tx_data  ...
-enterIdentifier
-  File: uart.v , 132
-  Text: tx_data  ...
-enterStatement_or_null
-  File: uart.v , 133
-  Text: tx_empty <= 0 ;  ...
-enterStatement
-  File: uart.v , 133
-  Text: tx_empty <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 133
-  Text: tx_empty <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 133
-  Text: tx_empty <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 133
-  Text: tx_empty  ...
-enterHierarchical_identifier
-  File: uart.v , 133
-  Text: tx_empty  ...
-enterSelect
-  File: uart.v , 133
-  Text:  ...
-enterBit_select
-  File: uart.v , 133
-  Text:  ...
-enterExpression
-  File: uart.v , 133
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 133
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 133
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 133
-  Text: 0  ...
-enterEnd
-  File: uart.v , 134
-  Text: end  ...
-enterEnd
-  File: uart.v , 135
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 136
-  Text: if ( tx_enable && !  ...
-enterStatement
-  File: uart.v , 136
-  Text: if ( tx_enable && !  ...
-enterStatement_item
-  File: uart.v , 136
-  Text: if ( tx_enable && !  ...
-enterConditional_statement
-  File: uart.v , 136
-  Text: if ( tx_enable && !  ...
-enterCond_predicate
-  File: uart.v , 136
-  Text: tx_enable && ! tx_em ...
-enterExpression_or_cond_pattern
-  File: uart.v , 136
-  Text: tx_enable && ! tx_em ...
-enterExpression
-  File: uart.v , 136
-  Text: tx_enable && ! tx_em ...
-enterExpression
-  File: uart.v , 136
-  Text: tx_enable  ...
-enterPrimary
-  File: uart.v , 136
-  Text: tx_enable  ...
-enterPrimary_literal
-  File: uart.v , 136
-  Text: tx_enable  ...
-enterIdentifier
-  File: uart.v , 136
-  Text: tx_enable  ...
-enterBinOp_LogicAnd
-  File: uart.v , 136
-  Text: &&  ...
-enterExpression
-  File: uart.v , 136
-  Text: ! tx_empty  ...
-enterUnary_Not
-  File: uart.v , 136
-  Text: !  ...
-enterPrimary
-  File: uart.v , 136
-  Text: tx_empty  ...
-enterPrimary_literal
-  File: uart.v , 136
-  Text: tx_empty  ...
-enterIdentifier
-  File: uart.v , 136
-  Text: tx_empty  ...
-enterStatement_or_null
-  File: uart.v , 136
-  Text: begin tx_cnt <= tx_c ...
-enterStatement
-  File: uart.v , 136
-  Text: begin tx_cnt <= tx_c ...
-enterStatement_item
-  File: uart.v , 136
-  Text: begin tx_cnt <= tx_c ...
-enterSeq_block
-  File: uart.v , 136
-  Text: begin tx_cnt <= tx_c ...
-enterStatement_or_null
-  File: uart.v , 137
-  Text: tx_cnt <= tx_cnt + 1 ...
-enterStatement
-  File: uart.v , 137
-  Text: tx_cnt <= tx_cnt + 1 ...
-enterStatement_item
-  File: uart.v , 137
-  Text: tx_cnt <= tx_cnt + 1 ...
-enterNonblocking_assignment
-  File: uart.v , 137
-  Text: tx_cnt <= tx_cnt + 1 ...
-enterVariable_lvalue
-  File: uart.v , 137
-  Text: tx_cnt  ...
-enterHierarchical_identifier
-  File: uart.v , 137
-  Text: tx_cnt  ...
-enterSelect
-  File: uart.v , 137
-  Text:  ...
-enterBit_select
-  File: uart.v , 137
-  Text:  ...
-enterExpression
-  File: uart.v , 137
-  Text: tx_cnt + 1  ...
-enterExpression
-  File: uart.v , 137
-  Text: tx_cnt  ...
-enterPrimary
-  File: uart.v , 137
-  Text: tx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 137
-  Text: tx_cnt  ...
-enterIdentifier
-  File: uart.v , 137
-  Text: tx_cnt  ...
-enterBinOp_Plus
-  File: uart.v , 137
-  Text: +  ...
-enterExpression
-  File: uart.v , 137
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 137
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 137
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 137
-  Text: 1  ...
-enterStatement_or_null
-  File: uart.v , 138
-  Text: if ( tx_cnt == 0 ) b ...
-enterStatement
-  File: uart.v , 138
-  Text: if ( tx_cnt == 0 ) b ...
-enterStatement_item
-  File: uart.v , 138
-  Text: if ( tx_cnt == 0 ) b ...
-enterConditional_statement
-  File: uart.v , 138
-  Text: if ( tx_cnt == 0 ) b ...
-enterCond_predicate
-  File: uart.v , 138
-  Text: tx_cnt == 0  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 138
-  Text: tx_cnt == 0  ...
-enterExpression
-  File: uart.v , 138
-  Text: tx_cnt == 0  ...
-enterExpression
-  File: uart.v , 138
-  Text: tx_cnt  ...
-enterPrimary
-  File: uart.v , 138
-  Text: tx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 138
-  Text: tx_cnt  ...
-enterIdentifier
-  File: uart.v , 138
-  Text: tx_cnt  ...
-enterBinOp_Equiv
-  File: uart.v , 138
-  Text: ==  ...
-enterExpression
-  File: uart.v , 138
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 138
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 138
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 138
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 138
-  Text: begin tx_out <= 0 ;  ...
-enterStatement
-  File: uart.v , 138
-  Text: begin tx_out <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 138
-  Text: begin tx_out <= 0 ;  ...
-enterSeq_block
-  File: uart.v , 138
-  Text: begin tx_out <= 0 ;  ...
-enterStatement_or_null
-  File: uart.v , 139
-  Text: tx_out <= 0 ;  ...
-enterStatement
-  File: uart.v , 139
-  Text: tx_out <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 139
-  Text: tx_out <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 139
-  Text: tx_out <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 139
-  Text: tx_out  ...
-enterHierarchical_identifier
-  File: uart.v , 139
-  Text: tx_out  ...
-enterSelect
-  File: uart.v , 139
-  Text:  ...
-enterBit_select
-  File: uart.v , 139
-  Text:  ...
-enterExpression
-  File: uart.v , 139
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 139
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 139
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 139
-  Text: 0  ...
-enterEnd
-  File: uart.v , 140
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 141
-  Text: if ( tx_cnt > 0 && t ...
-enterStatement
-  File: uart.v , 141
-  Text: if ( tx_cnt > 0 && t ...
-enterStatement_item
-  File: uart.v , 141
-  Text: if ( tx_cnt > 0 && t ...
-enterConditional_statement
-  File: uart.v , 141
-  Text: if ( tx_cnt > 0 && t ...
-enterCond_predicate
-  File: uart.v , 141
-  Text: tx_cnt > 0 && tx_cnt ...
-enterExpression_or_cond_pattern
-  File: uart.v , 141
-  Text: tx_cnt > 0 && tx_cnt ...
-enterExpression
-  File: uart.v , 141
-  Text: tx_cnt > 0 && tx_cnt ...
-enterExpression
-  File: uart.v , 141
-  Text: tx_cnt > 0 && tx_cnt ...
-enterExpression
-  File: uart.v , 141
-  Text: tx_cnt > 0  ...
-enterExpression
-  File: uart.v , 141
-  Text: tx_cnt  ...
-enterPrimary
-  File: uart.v , 141
-  Text: tx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 141
-  Text: tx_cnt  ...
-enterIdentifier
-  File: uart.v , 141
-  Text: tx_cnt  ...
-enterBinOp_Great
-  File: uart.v , 141
-  Text: >  ...
-enterExpression
-  File: uart.v , 141
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 141
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 141
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 141
-  Text: 0  ...
-enterBinOp_LogicAnd
-  File: uart.v , 141
-  Text: &&  ...
-enterExpression
-  File: uart.v , 141
-  Text: tx_cnt  ...
-enterPrimary
-  File: uart.v , 141
-  Text: tx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 141
-  Text: tx_cnt  ...
-enterIdentifier
-  File: uart.v , 141
-  Text: tx_cnt  ...
-enterBinOp_Less
-  File: uart.v , 141
-  Text: <  ...
-enterExpression
-  File: uart.v , 141
-  Text: 9  ...
-enterPrimary
-  File: uart.v , 141
-  Text: 9  ...
-enterPrimary_literal
-  File: uart.v , 141
-  Text: 9  ...
-enterNumber_Integral
-  File: uart.v , 141
-  Text: 9  ...
-enterStatement_or_null
-  File: uart.v , 141
-  Text: begin tx_out <= tx_r ...
-enterStatement
-  File: uart.v , 141
-  Text: begin tx_out <= tx_r ...
-enterStatement_item
-  File: uart.v , 141
-  Text: begin tx_out <= tx_r ...
-enterSeq_block
-  File: uart.v , 141
-  Text: begin tx_out <= tx_r ...
-enterStatement_or_null
-  File: uart.v , 142
-  Text: tx_out <= tx_reg [ t ...
-enterStatement
-  File: uart.v , 142
-  Text: tx_out <= tx_reg [ t ...
-enterStatement_item
-  File: uart.v , 142
-  Text: tx_out <= tx_reg [ t ...
-enterNonblocking_assignment
-  File: uart.v , 142
-  Text: tx_out <= tx_reg [ t ...
-enterVariable_lvalue
-  File: uart.v , 142
-  Text: tx_out  ...
-enterHierarchical_identifier
-  File: uart.v , 142
-  Text: tx_out  ...
-enterSelect
-  File: uart.v , 142
-  Text:  ...
-enterBit_select
-  File: uart.v , 142
-  Text:  ...
-enterExpression
-  File: uart.v , 142
-  Text: tx_reg [ tx_cnt - 1  ...
-enterPrimary
-  File: uart.v , 142
-  Text: tx_reg [ tx_cnt - 1  ...
-enterComplex_func_call
-  File: uart.v , 142
-  Text: tx_reg [ tx_cnt - 1  ...
-enterIdentifier
-  File: uart.v , 142
-  Text: tx_reg  ...
-enterSelect
-  File: uart.v , 142
-  Text: [ tx_cnt - 1 ]  ...
-enterBit_select
-  File: uart.v , 142
-  Text: [ tx_cnt - 1 ]  ...
-enterExpression
-  File: uart.v , 142
-  Text: tx_cnt - 1  ...
-enterExpression
-  File: uart.v , 142
-  Text: tx_cnt  ...
-enterPrimary
-  File: uart.v , 142
-  Text: tx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 142
-  Text: tx_cnt  ...
-enterIdentifier
-  File: uart.v , 142
-  Text: tx_cnt  ...
-enterBinOp_Minus
-  File: uart.v , 142
-  Text: -  ...
-enterExpression
-  File: uart.v , 142
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 142
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 142
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 142
-  Text: 1  ...
-enterEnd
-  File: uart.v , 143
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 144
-  Text: if ( tx_cnt == 9 ) b ...
-enterStatement
-  File: uart.v , 144
-  Text: if ( tx_cnt == 9 ) b ...
-enterStatement_item
-  File: uart.v , 144
-  Text: if ( tx_cnt == 9 ) b ...
-enterConditional_statement
-  File: uart.v , 144
-  Text: if ( tx_cnt == 9 ) b ...
-enterCond_predicate
-  File: uart.v , 144
-  Text: tx_cnt == 9  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 144
-  Text: tx_cnt == 9  ...
-enterExpression
-  File: uart.v , 144
-  Text: tx_cnt == 9  ...
-enterExpression
-  File: uart.v , 144
-  Text: tx_cnt  ...
-enterPrimary
-  File: uart.v , 144
-  Text: tx_cnt  ...
-enterPrimary_literal
-  File: uart.v , 144
-  Text: tx_cnt  ...
-enterIdentifier
-  File: uart.v , 144
-  Text: tx_cnt  ...
-enterBinOp_Equiv
-  File: uart.v , 144
-  Text: ==  ...
-enterExpression
-  File: uart.v , 144
-  Text: 9  ...
-enterPrimary
-  File: uart.v , 144
-  Text: 9  ...
-enterPrimary_literal
-  File: uart.v , 144
-  Text: 9  ...
-enterNumber_Integral
-  File: uart.v , 144
-  Text: 9  ...
-enterStatement_or_null
-  File: uart.v , 144
-  Text: begin tx_out <= 1 ;  ...
-enterStatement
-  File: uart.v , 144
-  Text: begin tx_out <= 1 ;  ...
-enterStatement_item
-  File: uart.v , 144
-  Text: begin tx_out <= 1 ;  ...
-enterSeq_block
-  File: uart.v , 144
-  Text: begin tx_out <= 1 ;  ...
-enterStatement_or_null
-  File: uart.v , 145
-  Text: tx_out <= 1 ;  ...
-enterStatement
-  File: uart.v , 145
-  Text: tx_out <= 1 ;  ...
-enterStatement_item
-  File: uart.v , 145
-  Text: tx_out <= 1 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 145
-  Text: tx_out <= 1  ...
-enterVariable_lvalue
-  File: uart.v , 145
-  Text: tx_out  ...
-enterHierarchical_identifier
-  File: uart.v , 145
-  Text: tx_out  ...
-enterSelect
-  File: uart.v , 145
-  Text:  ...
-enterBit_select
-  File: uart.v , 145
-  Text:  ...
-enterExpression
-  File: uart.v , 145
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 145
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 145
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 145
-  Text: 1  ...
-enterStatement_or_null
-  File: uart.v , 146
-  Text: tx_cnt <= 0 ;  ...
-enterStatement
-  File: uart.v , 146
-  Text: tx_cnt <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 146
-  Text: tx_cnt <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 146
-  Text: tx_cnt <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 146
-  Text: tx_cnt  ...
-enterHierarchical_identifier
-  File: uart.v , 146
-  Text: tx_cnt  ...
-enterSelect
-  File: uart.v , 146
-  Text:  ...
-enterBit_select
-  File: uart.v , 146
-  Text:  ...
-enterExpression
-  File: uart.v , 146
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 146
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 146
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 146
-  Text: 0  ...
-enterStatement_or_null
-  File: uart.v , 147
-  Text: tx_empty <= 1 ;  ...
-enterStatement
-  File: uart.v , 147
-  Text: tx_empty <= 1 ;  ...
-enterStatement_item
-  File: uart.v , 147
-  Text: tx_empty <= 1 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 147
-  Text: tx_empty <= 1  ...
-enterVariable_lvalue
-  File: uart.v , 147
-  Text: tx_empty  ...
-enterHierarchical_identifier
-  File: uart.v , 147
-  Text: tx_empty  ...
-enterSelect
-  File: uart.v , 147
-  Text:  ...
-enterBit_select
-  File: uart.v , 147
-  Text:  ...
-enterExpression
-  File: uart.v , 147
-  Text: 1  ...
-enterPrimary
-  File: uart.v , 147
-  Text: 1  ...
-enterPrimary_literal
-  File: uart.v , 147
-  Text: 1  ...
-enterNumber_Integral
-  File: uart.v , 147
-  Text: 1  ...
-enterEnd
-  File: uart.v , 148
-  Text: end  ...
-enterEnd
-  File: uart.v , 149
-  Text: end  ...
-enterStatement_or_null
-  File: uart.v , 150
-  Text: if ( ! tx_enable ) b ...
-enterStatement
-  File: uart.v , 150
-  Text: if ( ! tx_enable ) b ...
-enterStatement_item
-  File: uart.v , 150
-  Text: if ( ! tx_enable ) b ...
-enterConditional_statement
-  File: uart.v , 150
-  Text: if ( ! tx_enable ) b ...
-enterCond_predicate
-  File: uart.v , 150
-  Text: ! tx_enable  ...
-enterExpression_or_cond_pattern
-  File: uart.v , 150
-  Text: ! tx_enable  ...
-enterExpression
-  File: uart.v , 150
-  Text: ! tx_enable  ...
-enterUnary_Not
-  File: uart.v , 150
-  Text: !  ...
-enterPrimary
-  File: uart.v , 150
-  Text: tx_enable  ...
-enterPrimary_literal
-  File: uart.v , 150
-  Text: tx_enable  ...
-enterIdentifier
-  File: uart.v , 150
-  Text: tx_enable  ...
-enterStatement_or_null
-  File: uart.v , 150
-  Text: begin tx_cnt <= 0 ;  ...
-enterStatement
-  File: uart.v , 150
-  Text: begin tx_cnt <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 150
-  Text: begin tx_cnt <= 0 ;  ...
-enterSeq_block
-  File: uart.v , 150
-  Text: begin tx_cnt <= 0 ;  ...
-enterStatement_or_null
-  File: uart.v , 151
-  Text: tx_cnt <= 0 ;  ...
-enterStatement
-  File: uart.v , 151
-  Text: tx_cnt <= 0 ;  ...
-enterStatement_item
-  File: uart.v , 151
-  Text: tx_cnt <= 0 ;  ...
-enterNonblocking_assignment
-  File: uart.v , 151
-  Text: tx_cnt <= 0  ...
-enterVariable_lvalue
-  File: uart.v , 151[INFO :PY0400] Processing source file "/home/alain/Surelog/third_party/tests/SimpleParserTest/libmodule.sv".
-
-[INFO :CP0300] Compilation...
-
-[INFO :CP0303] lfsr_task.v:2 Compile module "work@LFSR_TASK".
-
-[INFO :CP0303] another_arbiter.v:2 Compile module "work@arbiter".
-
-[INFO :CP0303] m_input_mult.v:2 Compile module "work@case1".
-
-[INFO :CP0303] m_input_mult.v:21 Compile module "work@case2".
-
-[INFO :CP0303] dff.v:8 Compile module "work@dff_async_reset".
-
-[INFO :CP0303] encoder.v:7 Compile module "work@encoder_using_case".
-
-[INFO :CP0303] full_adder.v:7 Compile module "work@full_adder_gates".
-
-[INFO :CP0305] jkff_udp.v:7 Compile udp "work@jkff_udp".
-
-[INFO :CP0303] /home/alain/Surelog/third_party/tests/SimpleParserTest/libmodule.sv:1 Compile module "work@libmodule".
-
-[INFO :CP0303] mux21.v:7 Compile module "work@mux21_switch".
-
-[INFO :CP0303] m_input_mult.v:49 Compile module "work@pri_encooder".
-
-[INFO :CP0303] synfifo.v:8 Compile module "work@syn_fifo".
-
-[INFO :CP0303] arbiter_tb.v:2 Compile module "work@top".
-
-[INFO :CP0303] uart.v:8 Compile module "work@uart".
-
-[INFO :CP0305] jkff_udp.v:24 Compile udp "work@xor2_input".
-
-[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
-
-[INFO :CP0302] builtin.sv:33 Compile class "work@process".
-
-[INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
-
-[WARNI:CP0310] lfsr_task.v:2 Port "Reset" definition missing its direction (input, output, inout),
-there are 1 more instances of this message.
-
-[NOTE :CP0309] m_input_mult.v:2 Implicit port type (wire) for "out2".
-
-[NOTE :CP0309] m_input_mult.v:21 Implicit port type (wire) for "out2".
-
-[NOTE :CP0309] full_adder.v:7 Implicit port type (wire) for "sum",
-there are 1 more instances of this message.
-
-[NOTE :CP0309] mux21.v:7 Implicit port type (wire) for "out".
-
-[NOTE :CP0309] m_input_mult.v:49 Implicit port type (wire) for "Sel",
-there are 1 more instances of this message.
-
-[NOTE :CP0309] synfifo.v:17 Implicit port type (wire) for "empty",
-there are 1 more instances of this message.
-
-[INFO :EL0526] Design Elaboration...
-
-[NOTE :EL0503] arbiter_tb.v:2 Top level module "work@top".
-
-[NOTE :EL0503] dff.v:8 Top level module "work@dff_async_reset".
-
-[NOTE :EL0503] encoder.v:7 Top level module "work@encoder_using_case".
-
-[NOTE :EL0503] full_adder.v:7 Top level module "work@full_adder_gates".
-
-[NOTE :EL0503] lfsr_task.v:2 Top level module "work@LFSR_TASK".
-
-[NOTE :EL0503] m_input_mult.v:2 Top level module "work@case1".
-
-[NOTE :EL0503] m_input_mult.v:21 Top level module "work@case2".
-
-[NOTE :EL0503] m_input_mult.v:49 Top level module "work@pri_encooder".
-
-[NOTE :EL0503] mux21.v:7 Top level module "work@mux21_switch".
-
-[NOTE :EL0503] synfifo.v:8 Top level module "work@syn_fifo".
-
-[NOTE :EL0503] uart.v:8 Top level module "work@uart".
-
-[WARNI:EL0505] arbiter.inc:6 Multiply defined module "work@arbiter",
-               another_arbiter.v:2 previous definition.
-
-[WARNI:EL0505] encoder_case.v:8 Multiply defined module "work@encoder_using_case",
-               encoder.v:7 previous definition.
-
-[NOTE :EL0504] Multiple top level modules in design.
-
-[WARNI:EL0500] synfifo.v:91 Cannot find a module definition for "work@syn_fifo::ram_dp_ar_aw".
-
-[NOTE :EL0508] Nb Top level modules: 11.
-
-[NOTE :EL0509] Max instance depth: 2.
-
-[NOTE :EL0510] Nb instances: 20.
-
-[NOTE :EL0511] Nb leaf instances: 10.
-
-[WARNI:EL0512] Nb undefined modules: 1.
-
-[WARNI:EL0513] Nb undefined instances: 1.
-
-[  FATAL] : 0
-[ SYNTAX] : 0
-[  ERROR] : 0
-[WARNING] : 6
-[   NOTE] : 22
-
-  Text: tx_cnt  ...
-enterHierarchical_identifier
-  File: uart.v , 151
-  Text: tx_cnt  ...
-enterSelect
-  File: uart.v , 151
-  Text:  ...
-enterBit_select
-  File: uart.v , 151
-  Text:  ...
-enterExpression
-  File: uart.v , 151
-  Text: 0  ...
-enterPrimary
-  File: uart.v , 151
-  Text: 0  ...
-enterPrimary_literal
-  File: uart.v , 151
-  Text: 0  ...
-enterNumber_Integral
-  File: uart.v , 151
-  Text: 0  ...
-enterEnd
-  File: uart.v , 152
-  Text: end  ...
-enterEnd
-  File: uart.v , 153
-  Text: end  ...
-enterEndmodule
-  File: uart.v , 155
-  Text: endmodule  ...
-enterTop_level_rule
-  File: /home/alain/Surelog/third_party/tests/SimpleParserTest/libmodule.sv , 1
-  Text: module libmodule ; e ...
-enterNull_rule
-  File: /home/alain/Surelog/third_party/tests/SimpleParserTest/libmodule.sv , 1
-  Text:  ...
-enterSource_text
-  File: /home/alain/Surelog/third_party/tests/SimpleParserTest/libmodule.sv , 1
-  Text: module libmodule ; e ...
-enterDescription
-  File: /home/alain/Surelog/third_party/tests/SimpleParserTest/libmodule.sv , 1
-  Text: module libmodule ; e ...
-enterModule_declaration
-  File: /home/alain/Surelog/third_party/tests/SimpleParserTest/libmodule.sv , 1
-  Text: module libmodule ; e ...
-enterModule_ansi_header
-  File: /home/alain/Surelog/third_party/tests/SimpleParserTest/libmodule.sv , 1
-  Text: module libmodule ;  ...
-enterModule_keyword
-  File: /home/alain/Surelog/third_party/tests/SimpleParserTest/libmodule.sv , 1
-  Text: module  ...
-enterIdentifier
-  File: /home/alain/Surelog/third_party/tests/SimpleParserTest/libmodule.sv , 1
-  Text: libmodule  ...
-enterEndmodule
-  File: /home/alain/Surelog/third_party/tests/SimpleParserTest/libmodule.sv , 2
-  Text: endmodule  ...
 
diff --git a/third_party/tests/SimpleParserTest/SimpleParserTest.sl b/third_party/tests/SimpleParserTest/SimpleParserTest.sl
index 1b49ffa..92c1c41 100644
--- a/third_party/tests/SimpleParserTest/SimpleParserTest.sl
+++ b/third_party/tests/SimpleParserTest/SimpleParserTest.sl
@@ -1 +1 @@
-  *.v  -d 0 +incdir+.+..  +libext+.v -writepp   -verbose -v jkff_udp.v -v libmodule.sv -mt max -parse -fileunit  -pythonlistener -nocache -timescale=1ps/1ps
+  *.v  -d 0 +incdir+.+..  +libext+.v -writepp -verbose -v jkff_udp.v -v libmodule.sv -parse -fileunit  -pythonlistener -nocache -timescale=1ps/1ps
diff --git a/third_party/tests/UtdSV/UtdSV.log b/third_party/tests/UtdSV/UtdSV.log
index c5f2e2d..79f20f9 100644
--- a/third_party/tests/UtdSV/UtdSV.log
+++ b/third_party/tests/UtdSV/UtdSV.log
@@ -55,22 +55,54 @@
 
 [WARNI:PA0205] casez.v:3 No timescale set for "tb_casez".
 
+[WARNI:PA0205] ccx.v:24 No timescale set for "ccx".
+
+[WARNI:PA0205] ccx_global_int_buf.v:25 No timescale set for "ccx_global_int_buf".
+
 [WARNI:PA0205] ccx_iob_rptr.v:21 No timescale set for "ccx_iob_rptr".
 
+[WARNI:PA0205] ccx_spc_rpt.v:23 No timescale set for "ccx_spc_rpt".
+
+[WARNI:PA0205] cluster_header_dup.v:24 No timescale set for "cluster_header_dup".
+
+[WARNI:PA0205] cpx_buf_io.v:33 No timescale set for "cpx_buf_io".
+
 [WARNI:PA0205] cpx_fpbuf_p0.v:21 No timescale set for "cpx_fpbuf_p0".
 
 [WARNI:PA0205] cpx_fpbuf_p1.v:21 No timescale set for "cpx_fpbuf_p1".
 
+[WARNI:PA0205] cpx_spc_buf.v:24 No timescale set for "cpx_spc_buf".
+
 [WARNI:PA0205] ctu_bottom_rptr.v:21 No timescale set for "ctu_bottom_rptr".
 
 [WARNI:PA0205] ctu_bottom_rptr2.v:21 No timescale set for "ctu_bottom_rptr2".
 
+[WARNI:PA0205] ctu_clsp_clkgn_clksel.v:27 No timescale set for "ctu_clsp_clkgn_clksel".
+
+[WARNI:PA0205] ctu_clsp_clkgn_clksw.v:27 No timescale set for "ctu_clsp_clkgn_clksw".
+
+[WARNI:PA0205] ctu_clsp_clkgn_ssiclk.v:27 No timescale set for "ctu_clsp_clkgn_ssiclk".
+
+[WARNI:PA0205] ctu_clsp_cmpgif.v:27 No timescale set for "ctu_clsp_cmpgif".
+
+[WARNI:PA0205] ctu_clsp_jbusgif.v:27 No timescale set for "ctu_clsp_jbusgif".
+
+[WARNI:PA0205] ctu_clsp_synch_cljl.v:27 No timescale set for "ctu_clsp_synch_cljl".
+
+[WARNI:PA0205] ctu_clsp_synch_dldg.v:27 No timescale set for "ctu_clsp_synch_dldg".
+
+[WARNI:PA0205] ctu_clsp_synch_jldl.v:27 No timescale set for "ctu_clsp_synch_jldl".
+
 [WARNI:PA0205] ctu_jtag_id.v:32 No timescale set for "ctu_jtag_id".
 
 [WARNI:PA0205] ctu_level_mon.v:28 No timescale set for "ctu_level_mon".
 
 [WARNI:PA0205] ctu_mask_id.v:32 No timescale set for "ctu_mask_id".
 
+[WARNI:PA0205] ctu_sync_header.v:28 No timescale set for "ctu_sync_header".
+
+[WARNI:PA0205] ctu_test_stub_scan.v:26 No timescale set for "ctu_test_stub_scan".
+
 [WARNI:PA0205] ctu_top_rptr.v:21 No timescale set for "ctu_top_rptr".
 
 [WARNI:PA0205] ctu_top_rptr2.v:21 No timescale set for "ctu_top_rptr2".
@@ -219,6 +251,8 @@
 
 [WARNI:PA0205] lsu_tagdp.v:21 No timescale set for "lsu_tagdp".
 
+[WARNI:PA0205] lsu_tlbdp.v:23 No timescale set for "lsu_tlbdp".
+
 [WARNI:PA0205] mod-param-dec.v:2 No timescale set for "mod_param_dec_1".
 
 [WARNI:PA0205] mod-param-dec.v:9 No timescale set for "mod_param_dec_2".
@@ -237,6 +271,8 @@
 
 [WARNI:PA0205] pad_ddr3.v:21 No timescale set for "pad_ddr3".
 
+[WARNI:PA0205] pad_efc.v:32 No timescale set for "pad_efc".
+
 [WARNI:PA0205] pad_jbusl.v:21 No timescale set for "pad_jbusl".
 
 [WARNI:PA0205] pad_jbusr.v:21 No timescale set for "pad_jbusr".
@@ -255,6 +291,14 @@
 
 [WARNI:PA0205] sc_2_3_dbg_rptr.v:21 No timescale set for "sc_2_3_dbg_rptr".
 
+[WARNI:PA0205] scbuf.v:23 No timescale set for "scbuf".
+
+[WARNI:PA0205] scbuf_evict.v:23 No timescale set for "scbuf_evict".
+
+[WARNI:PA0205] scbuf_fbd.v:23 No timescale set for "scbuf_fbd".
+
+[WARNI:PA0205] scbuf_rdmard.v:23 No timescale set for "scbuf_rdmard".
+
 [WARNI:PA0205] scbuf_sig_buf.v:21 No timescale set for "scbuf_sig_buf".
 
 [WARNI:PA0205] scbuf_slowsig_buf.v:21 No timescale set for "scbuf_slowsig_buf".
@@ -267,6 +311,8 @@
 
 [WARNI:PA0205] scdata_subbank.v:21 No timescale set for "scdata_subbank".
 
+[WARNI:PA0205] sctag_arbaddrdp.v:57 No timescale set for "sctag_arbaddrdp".
+
 [WARNI:PA0205] sctag_cpx_rptr_0.v:21 No timescale set for "sctag_cpx_rptr_0".
 
 [WARNI:PA0205] sctag_cpx_rptr_1.v:21 No timescale set for "sctag_cpx_rptr_1".
@@ -275,6 +321,8 @@
 
 [WARNI:PA0205] sctag_cpx_rptr_3.v:21 No timescale set for "sctag_cpx_rptr_3".
 
+[WARNI:PA0205] sctag_dbgdp.v:23 No timescale set for "sctag_dbgdp".
+
 [WARNI:PA0205] sctag_deccdp.v:41 No timescale set for "sctag_deccdp".
 
 [WARNI:PA0205] sctag_dir_ctl.v:21 No timescale set for "sctag_dir_ctl".
@@ -293,6 +341,8 @@
 
 [WARNI:PA0205] sctag_min_rptr.v:21 No timescale set for "sctag_min_rptr".
 
+[WARNI:PA0205] sctag_oqdp.v:47 No timescale set for "sctag_oqdp".
+
 [WARNI:PA0205] sctag_pcx_rptr_0.v:21 No timescale set for "sctag_pcx_rptr_0".
 
 [WARNI:PA0205] sctag_pcx_rptr_1.v:21 No timescale set for "sctag_pcx_rptr_1".
@@ -319,10 +369,18 @@
 
 [WARNI:PA0205] sctag_slow_rptr.v:21 No timescale set for "sctag_slow_rptr".
 
+[WARNI:PA0205] sctag_snpctl.v:24 No timescale set for "sctag_snpctl".
+
+[WARNI:PA0205] sctag_snpdp.v:25 No timescale set for "sctag_snpdp".
+
 [WARNI:PA0205] sctag_stdatarep.v:21 No timescale set for "sctag_stdatarep".
 
 [WARNI:PA0205] sctag_tagctlrep.v:21 No timescale set for "sctag_tagctlrep".
 
+[WARNI:PA0205] sctag_tagdp.v:39 No timescale set for "sctag_tagdp".
+
+[WARNI:PA0205] sctag_tagl_dp.v:28 No timescale set for "sctag_tagl_dp".
+
 [WARNI:PA0205] sctag_ua_dp.v:21 No timescale set for "sctag_ua_dp".
 
 [WARNI:PA0205] sctag_vd_dp.v:21 No timescale set for "sctag_vd_dp".
@@ -389,6 +447,8 @@
 
 [WARNI:PA0205] sparc_ifu_thrcmpl.v:30 No timescale set for "sparc_ifu_thrcmpl".
 
+[WARNI:PA0205] spc_pcx_buf.v:23 No timescale set for "spc_pcx_buf".
+
 [WARNI:PA0205] spu_lsurpt.v:23 No timescale set for "spu_lsurpt".
 
 [WARNI:PA0205] spu_lsurpt1.v:23 No timescale set for "spu_lsurpt1".
@@ -7390,6 +7450,6 @@
 [  FATAL] : 0
 [ SYNTAX] : 3
 [  ERROR] : 6
-[WARNING] : 2767
+[WARNING] : 2797
 [   NOTE] : 488
 
diff --git a/third_party/tests/UtdSV/UtdSV.sl b/third_party/tests/UtdSV/UtdSV.sl
index d2a5958..3b60586 100644
--- a/third_party/tests/UtdSV/UtdSV.sl
+++ b/third_party/tests/UtdSV/UtdSV.sl
@@ -1 +1 @@
- +incdir+.+../../../UVM/uvm-1.2/src/     -fileunit    -writepp -parse   -mt max   -nocache *.v
+ +incdir+.+../../../UVM/uvm-1.2/src/ -fileunit -writepp -parse -nocache *.v
diff --git a/third_party/tests/Verilator/Verilator.log b/third_party/tests/Verilator/Verilator.log
index 29f93b7..314546b 100644
--- a/third_party/tests/Verilator/Verilator.log
+++ b/third_party/tests/Verilator/Verilator.log
@@ -2522,12 +2522,6 @@
 
 [INFO :PP0122] Preprocessing source file "t_preproc.v".
 
-[ERROR:PP0102] t_preproc.v:182 Unknown macro "error".
-
-[WARNI:PP0103] t_preproc.v:184 Undefining an unknown macro "T_PREPROC_INC4".
-
-[ERROR:PP0102] t_preproc.v:389 Unknown macro "error_here".
-
 [INFO :PP0122] Preprocessing source file "t_lint_ifdepth_bad.v".
 
 [INFO :PP0122] Preprocessing source file "t_tri_pull_bad.v".
@@ -7164,7 +7158,7 @@
 
 [INFO :PA0201] Parsing source file "t_flag_relinc_dir/chip/t_flag_relinc_sub.v".
 
-[ERROR:PA0203] t_flag_relinc_dir/chip/t_flag_relinc_sub.v:11 Unknown macro "all_finished".
+[ERROR:PA0203] ../include/t_flag_relinc.vh:4 Unknown macro "all_finished".
 
 [INFO :PA0201] Parsing source file "t_sv_cpu_code/chip.sv".
 
@@ -8008,7 +8002,7 @@
 
 [WARNI:PA0205] t_xml_first.v:44 No timescale set for "mod2".
 
-[WARNI:PA0205] t_flag_relinc_dir/chip/t_flag_relinc_sub.v:9 No timescale set for "t_flag_relinc_sub".
+[WARNI:PA0205] ../include/t_flag_relinc.vh:2 No timescale set for "t_flag_relinc_sub".
 
 [WARNI:PA0205] t_sv_cpu_code/chip.sv:12 No timescale set for "chip".
 
@@ -8864,7 +8858,7 @@
 
 [ERROR:PA0206] t_xml_first.v:44 Missing timeunit/timeprecision for "mod2".
 
-[ERROR:PA0206] t_flag_relinc_dir/chip/t_flag_relinc_sub.v:9 Missing timeunit/timeprecision for "t_flag_relinc_sub".
+[ERROR:PA0206] ../include/t_flag_relinc.vh:2 Missing timeunit/timeprecision for "t_flag_relinc_sub".
 
 [ERROR:PA0206] t_sv_cpu_code/chip.sv:12 Missing timeunit/timeprecision for "chip".
 
@@ -8890,7 +8884,7 @@
 
 [  FATAL] : 0
 [ SYNTAX] : 48
-[  ERROR] : 589
-[WARNING] : 418
+[  ERROR] : 587
+[WARNING] : 417
 [   NOTE] : 4
 
diff --git a/third_party/tests/Verilator/Verilator.sl b/third_party/tests/Verilator/Verilator.sl
index 4fd266e..21ffcdc 100644
--- a/third_party/tests/Verilator/Verilator.sl
+++ b/third_party/tests/Verilator/Verilator.sl
@@ -1 +1 @@
- +incdir+.+../../../UVM/uvm-1.2/src/     -writepp -parse    -mt max -nopython -fileunit   */*.sv */*.v *.v -nocomp -noelab  -nocache -verbose
+ +incdir+.+../../../UVM/uvm-1.2/src/ -writepp -parse -nopython -fileunit  */*.sv */*.v *.v -nocomp -noelab -nocache -verbose
diff --git a/third_party/tests/Yosys/Yosys.log b/third_party/tests/Yosys/Yosys.log
index c74a1fd..f6e6ddd 100644
--- a/third_party/tests/Yosys/Yosys.log
+++ b/third_party/tests/Yosys/Yosys.log
@@ -488,6 +488,10 @@
 
 [WARNI:PA0205] various/pmux2shiftx.v:36 No timescale set for "issue01135".
 
+[WARNI:PA0205] various/specify.v:18 No timescale set for "test2".
+
+[WARNI:PA0205] various/specify.v:35 No timescale set for "issue01144".
+
 [WARNI:PA0205] various/constmsk_testmap.v:1 No timescale set for "my_opt_reduce_or".
 
 [WARNI:PA0205] various/muxpack.v:1 No timescale set for "mux_if_unbal_4_1".
@@ -910,8 +914,6 @@
 
 [WARNI:PA0205] sat/expose_dff.v:2 No timescale set for "test1".
 
-[WARNI:PA0205] sat/expose_dff.v:7 No timescale set for "test2".
-
 [WARNI:PA0205] sat/expose_dff.v:17 No timescale set for "test3".
 
 [WARNI:PA0205] sat/expose_dff.v:25 No timescale set for "test4".
@@ -957,6 +959,6 @@
 [  FATAL] : 0
 [ SYNTAX] : 10
 [  ERROR] : 0
-[WARNING] : 456
+[WARNING] : 457
 [   NOTE] : 0
 
diff --git a/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log b/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log
index 32ab366..3f74dd5 100644
--- a/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log
+++ b/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log
@@ -1,4 +1,4 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimAes/slpp_unit/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysBigSimAes/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
diff --git a/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log b/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log
index ece4d89..60397d1 100644
--- a/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log
+++ b/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log
@@ -1,10 +1,10 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimAmber23/slpp_unit/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysBigSimAmber23/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
 [SYNTX:PA0207] rtl/a23_decode.v:174 Syntax error: mismatched input 'type' expecting {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier},
 reg     [3:0]          type;
-                       ^-- ../../../build/tests/YosysBigSimAmber23/slpp_unit/work/rtl/a23_decode.v:526 col:23.
+                       ^-- ../../../../build/tests/YosysBigSimAmber23/slpp_unit/work/rtl/a23_decode.v:526 col:23.
 
 [WARNI:PA0205] sim/bench.v:2 No timescale set for "testbench".
 
diff --git a/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log b/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log
index 237c28f..a4560dd 100644
--- a/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log
+++ b/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log
@@ -1,42 +1,42 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimLm32/slpp_unit/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysBigSimLm32/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
 [ERROR:PP0107] rtl/lm32_instruction_unit.v:196 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [ERROR:PP0107] rtl/lm32_icache.v:128 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [ERROR:PP0107] rtl/lm32_icache.v:129 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [ERROR:PP0107] rtl/lm32_icache.v:139 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [ERROR:PP0107] rtl/lm32_dtlb.v:89 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [ERROR:PP0107] rtl/lm32_dtlb.v:90 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [ERROR:PP0107] rtl/lm32_dcache.v:118 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [ERROR:PP0107] rtl/lm32_dcache.v:119 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [ERROR:PP0107] rtl/lm32_dcache.v:129 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [ERROR:PP0107] rtl/lm32_itlb.v:88 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [ERROR:PP0107] rtl/lm32_itlb.v:89 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [ERROR:PP0107] rtl/lm32_load_store_unit.v:159 Too many arguments (1) for macro "CLOG2",
-               ./rtl/lm32_include.v:57 macro definition takes 0.
+               ./rtl/lm32_config.v:57 macro definition takes 0.
 
 [WARNI:PA0205] sim/tb_lm32_system.v:33 No timescale set for "testbench".
 
diff --git a/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log b/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log
index 20220f7..137294d 100644
--- a/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log
+++ b/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log
@@ -1,18 +1,42 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimOpenMsp/slpp_unit/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysBigSimOpenMsp/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
-[WARNI:PP0103] ./rtl/openMSP430_undefines.v:249 Undefining an unknown macro "PMEM_CUSTOM_AWIDTH".
+[WARNI:PP0103] rtl/openMSP430_undefines.v:249 Undefining an unknown macro "PMEM_CUSTOM_AWIDTH".
 
-[WARNI:PP0103] ./rtl/openMSP430_undefines.v:250 Undefining an unknown macro "PMEM_CUSTOM_SIZE".
+[WARNI:PP0103] rtl/openMSP430_undefines.v:250 Undefining an unknown macro "PMEM_CUSTOM_SIZE".
 
-[WARNI:PP0103] ./rtl/openMSP430_undefines.v:251 Undefining an unknown macro "DMEM_CUSTOM_AWIDTH".
+[WARNI:PP0103] rtl/openMSP430_undefines.v:251 Undefining an unknown macro "DMEM_CUSTOM_AWIDTH".
 
-[WARNI:PP0103] ./rtl/openMSP430_undefines.v:252 Undefining an unknown macro "DMEM_CUSTOM_SIZE".
+[WARNI:PP0103] rtl/openMSP430_undefines.v:252 Undefining an unknown macro "DMEM_CUSTOM_SIZE".
 
-[WARNI:PP0103] ./rtl/openMSP430_undefines.v:253 Undefining an unknown macro "PER_CUSTOM_AWIDTH".
+[WARNI:PP0103] rtl/openMSP430_undefines.v:253 Undefining an unknown macro "PER_CUSTOM_AWIDTH".
 
-[WARNI:PP0103] ./rtl/openMSP430_undefines.v:254 Undefining an unknown macro "PER_CUSTOM_SIZE".
+[WARNI:PP0103] rtl/openMSP430_undefines.v:254 Undefining an unknown macro "PER_CUSTOM_SIZE".
+
+[WARNI:PA0205] rtl/openMSP430.v:47 No timescale set for "openMSP430".
+
+[WARNI:PA0205] rtl/omsp_clock_module.v:47 No timescale set for "omsp_clock_module".
+
+[WARNI:PA0205] rtl/omsp_dbg.v:47 No timescale set for "omsp_dbg".
+
+[WARNI:PA0205] rtl/omsp_execution_unit.v:47 No timescale set for "omsp_execution_unit".
+
+[WARNI:PA0205] rtl/omsp_frontend.v:47 No timescale set for "omsp_frontend".
+
+[WARNI:PA0205] rtl/omsp_mem_backbone.v:47 No timescale set for "omsp_mem_backbone".
+
+[WARNI:PA0205] rtl/omsp_multiplier.v:47 No timescale set for "omsp_multiplier".
+
+[WARNI:PA0205] rtl/omsp_sfr.v:48 No timescale set for "omsp_sfr".
+
+[WARNI:PA0205] rtl/omsp_watchdog.v:47 No timescale set for "omsp_watchdog".
+
+[WARNI:PA0205] rtl/omsp_alu.v:47 No timescale set for "omsp_alu".
+
+[WARNI:PA0205] rtl/omsp_dbg_uart.v:47 No timescale set for "omsp_dbg_uart".
+
+[WARNI:PA0205] rtl/omsp_register_file.v:47 No timescale set for "omsp_register_file".
 
 [WARNI:PA0205] rtl/omsp_sync_cell.v:44 No timescale set for "omsp_sync_cell".
 
@@ -20,78 +44,84 @@
 
 [INFO :CP0300] Compilation...
 
-[INFO :CP0303] rtl/omsp_alu.v:1280 Compile module "work@omsp_alu".
+[INFO :CP0303] rtl/omsp_alu.v:47 Compile module "work@omsp_alu".
 
-[INFO :CP0303] rtl/omsp_clock_module.v:1280 Compile module "work@omsp_clock_module".
+[INFO :CP0303] rtl/omsp_clock_module.v:47 Compile module "work@omsp_clock_module".
 
-[INFO :CP0303] rtl/omsp_dbg.v:1280 Compile module "work@omsp_dbg".
+[INFO :CP0303] rtl/omsp_dbg.v:47 Compile module "work@omsp_dbg".
 
-[INFO :CP0303] rtl/omsp_dbg_uart.v:1280 Compile module "work@omsp_dbg_uart".
+[INFO :CP0303] rtl/omsp_dbg_uart.v:47 Compile module "work@omsp_dbg_uart".
 
-[INFO :CP0303] rtl/omsp_execution_unit.v:1280 Compile module "work@omsp_execution_unit".
+[INFO :CP0303] rtl/omsp_execution_unit.v:47 Compile module "work@omsp_execution_unit".
 
-[INFO :CP0303] rtl/omsp_frontend.v:1280 Compile module "work@omsp_frontend".
+[INFO :CP0303] rtl/omsp_frontend.v:47 Compile module "work@omsp_frontend".
 
-[INFO :CP0303] rtl/omsp_mem_backbone.v:1280 Compile module "work@omsp_mem_backbone".
+[INFO :CP0303] rtl/omsp_mem_backbone.v:47 Compile module "work@omsp_mem_backbone".
 
-[INFO :CP0303] rtl/omsp_multiplier.v:1280 Compile module "work@omsp_multiplier".
+[INFO :CP0303] rtl/omsp_multiplier.v:47 Compile module "work@omsp_multiplier".
 
-[INFO :CP0303] rtl/omsp_register_file.v:1280 Compile module "work@omsp_register_file".
+[INFO :CP0303] rtl/omsp_register_file.v:47 Compile module "work@omsp_register_file".
 
-[INFO :CP0303] rtl/omsp_sfr.v:1281 Compile module "work@omsp_sfr".
+[INFO :CP0303] rtl/omsp_sfr.v:48 Compile module "work@omsp_sfr".
 
 [INFO :CP0303] rtl/omsp_sync_cell.v:44 Compile module "work@omsp_sync_cell".
 
 [INFO :CP0303] rtl/omsp_sync_reset.v:44 Compile module "work@omsp_sync_reset".
 
-[INFO :CP0303] rtl/omsp_watchdog.v:1280 Compile module "work@omsp_watchdog".
+[INFO :CP0303] rtl/omsp_watchdog.v:47 Compile module "work@omsp_watchdog".
 
-[INFO :CP0303] rtl/openMSP430.v:1280 Compile module "work@openMSP430".
+[INFO :CP0303] rtl/openMSP430.v:47 Compile module "work@openMSP430".
 
-[INFO :CP0303] sim/bench.v:851 Compile module "work@testbench".
+[INFO :CP0303] sim/bench.v:6 Compile module "work@testbench".
 
-[NOTE :CP0309] rtl/omsp_alu.v:1283 Implicit port type (wire) for "alu_out",
+[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
+
+[INFO :CP0302] builtin.sv:33 Compile class "work@process".
+
+[INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
+
+[NOTE :CP0309] rtl/omsp_alu.v:50 Implicit port type (wire) for "alu_out",
 there are 3 more instances of this message.
 
-[NOTE :CP0309] rtl/omsp_clock_module.v:1283 Implicit port type (wire) for "aclk",
+[NOTE :CP0309] rtl/omsp_clock_module.v:50 Implicit port type (wire) for "aclk",
 there are 14 more instances of this message.
 
-[NOTE :CP0309] rtl/omsp_dbg.v:1283 Implicit port type (wire) for "dbg_cpu_reset",
+[NOTE :CP0309] rtl/omsp_dbg.v:50 Implicit port type (wire) for "dbg_cpu_reset",
 there are 9 more instances of this message.
 
-[NOTE :CP0309] rtl/omsp_dbg_uart.v:1284 Implicit port type (wire) for "dbg_din",
+[NOTE :CP0309] rtl/omsp_dbg_uart.v:51 Implicit port type (wire) for "dbg_din",
 there are 2 more instances of this message.
 
-[NOTE :CP0309] rtl/omsp_execution_unit.v:1283 Implicit port type (wire) for "cpuoff",
+[NOTE :CP0309] rtl/omsp_execution_unit.v:50 Implicit port type (wire) for "cpuoff",
 there are 11 more instances of this message.
 
-[NOTE :CP0309] rtl/omsp_frontend.v:1284 Implicit port type (wire) for "decode_noirq",
+[NOTE :CP0309] rtl/omsp_frontend.v:51 Implicit port type (wire) for "decode_noirq",
 there are 11 more instances of this message.
 
-[NOTE :CP0309] rtl/omsp_mem_backbone.v:1283 Implicit port type (wire) for "dbg_mem_din",
+[NOTE :CP0309] rtl/omsp_mem_backbone.v:50 Implicit port type (wire) for "dbg_mem_din",
 there are 15 more instances of this message.
 
-[NOTE :CP0309] rtl/omsp_multiplier.v:1283 Implicit port type (wire) for "per_dout".
+[NOTE :CP0309] rtl/omsp_multiplier.v:50 Implicit port type (wire) for "per_dout".
 
-[NOTE :CP0309] rtl/omsp_register_file.v:1283 Implicit port type (wire) for "cpuoff",
+[NOTE :CP0309] rtl/omsp_register_file.v:50 Implicit port type (wire) for "cpuoff",
 there are 9 more instances of this message.
 
-[NOTE :CP0309] rtl/omsp_sfr.v:1284 Implicit port type (wire) for "cpu_id",
+[NOTE :CP0309] rtl/omsp_sfr.v:51 Implicit port type (wire) for "cpu_id",
 there are 5 more instances of this message.
 
 [NOTE :CP0309] rtl/omsp_sync_cell.v:47 Implicit port type (wire) for "data_out".
 
 [NOTE :CP0309] rtl/omsp_sync_reset.v:47 Implicit port type (wire) for "rst_s".
 
-[NOTE :CP0309] rtl/omsp_watchdog.v:1283 Implicit port type (wire) for "per_dout",
+[NOTE :CP0309] rtl/omsp_watchdog.v:50 Implicit port type (wire) for "per_dout",
 there are 3 more instances of this message.
 
-[NOTE :CP0309] rtl/openMSP430.v:1283 Implicit port type (wire) for "aclk",
+[NOTE :CP0309] rtl/openMSP430.v:50 Implicit port type (wire) for "aclk",
 there are 25 more instances of this message.
 
 [INFO :EL0526] Design Elaboration...
 
-[NOTE :EL0503] sim/bench.v:851 Top level module "work@testbench".
+[NOTE :EL0503] sim/bench.v:6 Top level module "work@testbench".
 
 [NOTE :EL0508] Nb Top level modules: 1.
 
@@ -104,6 +134,6 @@
 [  FATAL] : 0
 [ SYNTAX] : 0
 [  ERROR] : 0
-[WARNING] : 8
+[WARNING] : 20
 [   NOTE] : 19
 
diff --git a/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.sl b/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.sl
index 08c4f6a..fd34a76 100644
--- a/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.sl
+++ b/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.sl
@@ -1 +1 @@
-  -writepp -parse   -mt  max  -nopython -fileunit  rtl/openMSP430.v rtl/omsp_clock_module.v rtl/omsp_dbg.v rtl/omsp_execution_unit.v rtl/omsp_frontend.v rtl/omsp_mem_backbone.v rtl/omsp_multiplier.v rtl/omsp_sfr.v rtl/omsp_watchdog.v rtl/omsp_alu.v rtl/omsp_dbg_uart.v rtl/omsp_register_file.v rtl/omsp_sync_cell.v rtl/omsp_sync_reset.v sim/bench.v +incdir+./rtl/+./sim/ -nobuiltin -nocache
+-writepp -parse  rtl/openMSP430.v rtl/omsp_clock_module.v rtl/omsp_dbg.v rtl/omsp_execution_unit.v rtl/omsp_frontend.v rtl/omsp_mem_backbone.v rtl/omsp_multiplier.v rtl/omsp_sfr.v rtl/omsp_watchdog.v rtl/omsp_alu.v rtl/omsp_dbg_uart.v rtl/omsp_register_file.v rtl/omsp_sync_cell.v rtl/omsp_sync_reset.v sim/bench.v +incdir+rtl/+sim/ -nocache -fileunit
diff --git a/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log b/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log
index 6bc0f9d..6f8fca6 100644
--- a/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log
+++ b/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log
@@ -1,4 +1,4 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimReed/slpp_unit/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysBigSimReed/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
diff --git a/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log b/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log
index b8b47a9..8a4c69b 100644
--- a/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log
+++ b/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log
@@ -1,4 +1,4 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimSoft/slpp_unit/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysBigSimSoft/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
diff --git a/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log b/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log
index 631a396..567bbf8 100644
--- a/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log
+++ b/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log
@@ -1,4 +1,4 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimPong/slpp_unit/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysBigSimPong/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
diff --git a/third_party/tests/YosysOldTests/openmsp430/YosysOldOpen.log b/third_party/tests/YosysOldTests/openmsp430/YosysOldOpen.log
index 706b5a4..2136655 100644
--- a/third_party/tests/YosysOldTests/openmsp430/YosysOldOpen.log
+++ b/third_party/tests/YosysOldTests/openmsp430/YosysOldOpen.log
@@ -1,4 +1,4 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysOldOpen/slpp_all/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysOldOpen/slpp_all/surelog.log.
 
 [WARNI:PA0205] rtl/omsp_alu.v:47 No timescale set for "omsp_alu".
 
diff --git a/third_party/tests/YosysOldTests/or1200/YosysOldOr.log b/third_party/tests/YosysOldTests/or1200/YosysOldOr.log
index 67e49a5..557be9e 100644
--- a/third_party/tests/YosysOldTests/or1200/YosysOldOr.log
+++ b/third_party/tests/YosysOldTests/or1200/YosysOldOr.log
@@ -1,4 +1,4 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysOldOr/slpp_all/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysOldOr/slpp_all/surelog.log.
 
 [INFO :CP0300] Compilation...
 
diff --git a/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log b/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log
index bc8c5ae..7fc0107 100644
--- a/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log
+++ b/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log
@@ -1,4 +1,4 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysOldSasc/slpp_unit/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysOldSasc/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
diff --git a/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log b/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log
index 1a4d640..fef8ecc 100644
--- a/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log
+++ b/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log
@@ -1,21 +1,19 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysOldSsPcm/slpp_unit/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysOldSsPcm/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
-[WARNI:PA0205] cache/synth.v:1 No timescale set for "pcm_slv_top".
+[WARNI:PA0205] rtl/pcm_slv_top.v:75 No timescale set for "pcm_slv_top".
 
 [INFO :CP0300] Compilation...
 
-[INFO :CP0303] cache/synth.v:1 Compile module "work@pcm_slv_top".
+[INFO :CP0303] rtl/pcm_slv_top.v:75 Compile module "work@pcm_slv_top".
 
-[NOTE :CP0309] cache/synth.v:1 Implicit port type (wire) for "dout_o".
+[NOTE :CP0309] rtl/pcm_slv_top.v:80 Implicit port type (wire) for "pcm_dout_o",
+there are 1 more instances of this message.
 
 [INFO :EL0526] Design Elaboration...
 
-[NOTE :EL0503] cache/synth.v:1 Top level module "work@pcm_slv_top".
-
-[WARNI:EL0505] rtl/pcm_slv_top.v:75 Multiply defined module "work@pcm_slv_top",
-               cache/synth.v:1 previous definition.
+[NOTE :EL0503] rtl/pcm_slv_top.v:75 Top level module "work@pcm_slv_top".
 
 [NOTE :EL0508] Nb Top level modules: 1.
 
@@ -28,6 +26,6 @@
 [  FATAL] : 0
 [ SYNTAX] : 0
 [  ERROR] : 0
-[WARNING] : 2
+[WARNING] : 1
 [   NOTE] : 6
 
diff --git a/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.sl b/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.sl
index 23bd568..1d834a1 100644
--- a/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.sl
+++ b/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.sl
@@ -1 +1 @@
-  -writepp -parse   -mt  max  -nopython -fileunit  */*.v +incdir+. -nobuiltin -nocache
+ -writepp -parse -nopython -fileunit rtl/pcm_slv_top.v +incdir+rtl/+. -nobuiltin -nocache
diff --git a/third_party/tests/YosysOldTests/usb_phy/YosysOldUsb.log b/third_party/tests/YosysOldTests/usb_phy/YosysOldUsb.log
index 35bbcfd..551a168 100644
--- a/third_party/tests/YosysOldTests/usb_phy/YosysOldUsb.log
+++ b/third_party/tests/YosysOldTests/usb_phy/YosysOldUsb.log
@@ -1,4 +1,4 @@
-[INFO :CM0023] Creating log file ../../../build/tests/YosysOldUsb/slpp_all/surelog.log.
+[INFO :CM0023] Creating log file ../../../../build/tests/YosysOldUsb/slpp_all/surelog.log.
 
 [WARNI:PA0205] rtl/usb_phy.v:76 No timescale set for "usb_phy".