blob: 0c291f2e590f7ff6af3eb2c2c625913345ce97a9 [file] [log] [blame]
PROJ=morse
all: ${PROJ}.bit
%.json: %.v
yosys -p "synth_ecp5 -noccu2 -nomux -nodram -json $@" $<
%_out.config: %.json
nextpnr-ecp5 --json $< --textcfg $@ --85k --package CSFBGA285
%.bit: %_out.config
ecppack $< $@
prog: ${PROJ}.bit
tinyprog -p $<