blob: 067ba4dc90ac158087df5ceb57cfaea3315a6316 [file] [log] [blame]
all: blinky.bit
blinky.json: blinky.v
yosys -p "synth_ecp5 -json blinky.json" blinky.v
blinky_out.config: blinky.json
nextpnr-ecp5 --json blinky.json --textcfg blinky_out.config --45k
blinky.bit: blinky_out.config
ecppack blinky_out.config blinky.bit