blob: 37d2ad857274359e5df170d02cccdf60f3dff429 [file] [log] [blame]
source "$::env(URAY_DIR)/utils/utils.tcl"
proc run {} {
create_project -force -part $::env(URAY_PART) design design
read_verilog top.v
synth_design -top top
set_property BEL A6LUT [get_cells -filter "REF_NAME == LUT6"]
set_property IS_BEL_FIXED 1 [get_cells -filter "REF_NAME == LUT6"]
set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design]
set_property IS_ENABLED 0 [get_drc_checks {LUTLP-1}]
place_design -directive Quick
route_design -directive Quick
write_checkpoint -force design.dcp
write_bitstream -force design.bit
}
run