blob: ea8b6c2f08a8792639ee3a61ebc3e290538cea62 [file] [log] [blame]
open_checkpoint harness_impl.dcp
read_checkpoint -cell roi [lindex $argv 0]
opt_design
place_design
route_design
write_checkpoint -force [lindex $argv 1]