Sign in
foss-fpga-tools
/
prjxray
/
93ced10d4c8f2df5e2f8532245164278b0b1766d
/
.
/
minitests
/
partial_reconfig_flow
/
roi_implement.tcl
blob: ea8b6c2f08a8792639ee3a61ebc3e290538cea62 [
file
] [
log
] [
blame
]
open_checkpoint harness_impl
.
dcp
read_checkpoint -cell roi
[
lindex
$
argv
0
]
opt_design
place_design
route_design
write_checkpoint -force
[
lindex
$
argv
1
]