blob: e5eb9efeb6409359aa6906ed571a77dadb19be6d [file] [log] [blame] [edit]
`ifndef VCDFILE
`define VCDFILE "out.vcd"
`endif
module testbench;
reg clk;
always #5 clk = (clk === 1'b0);
wire data;
top uut (
.clk(clk),
.LED1(data)
);
initial begin
$dumpfile(`VCDFILE);
$dumpvars(1, uut);
end
initial begin
repeat (6000) @(posedge clk);
$finish;
end
endmodule