blob: 896491dd6f2a214d57771bd590431473ca5f4a0d [file] [log] [blame] [edit]
set_property PACKAGE_PIN E3 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property PACKAGE_PIN H5 [get_ports led]
set_property IOSTANDARD LVCMOS33 [get_ports led]
set_property PACKAGE_PIN A8 [get_ports sw]
set_property IOSTANDARD LVCMOS33 [get_ports sw]
create_clock -period 10.0 clk