| |
| ############## NET - IOSTANDARD ################## |
| set_property CFGBVS VCCO [current_design] |
| set_property CONFIG_VOLTAGE 3.3 [current_design] |
| #############SPI Configurate Setting################## |
| set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design] |
| set_property CONFIG_MODE SPIx4 [current_design] |
| set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design] |
| set_property BITSTREAM.GENERAL.CRC Disable [current_design] |
| #############LED Setting########################### |
| set_property PACKAGE_PIN H16 [get_ports {led[0]}] |
| set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}] |
| |
| set_property PACKAGE_PIN G16 [get_ports {led[1]}] |
| set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}] |
| |
| set_property PACKAGE_PIN M15 [get_ports {sw[0]}] |
| set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}] |
| |
| set_property PACKAGE_PIN L15 [get_ports {sw[1]}] |
| set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}] |
| |