blob: 51b115c0cc19af2136c9e5142a627fd3e1252ac8 [file] [log] [blame]
module test;
parameter [39:0] foo = 5;
initial begin
if ($bits(foo) != 40) begin
$display("FAILED -- $bits(foo) == %d", $bits(foo));
$finish;
end
$display("PASSED");
end
endmodule // test