Merge pull request #92 from alainmarcel/alainmarcel-patch-1

Logs without timestamps, return code
diff --git a/Makefile b/Makefile
index 2138ba2..2c32c9e 100644
--- a/Makefile
+++ b/Makefile
@@ -17,3 +17,7 @@
 
 install:
 	cd build; make install
+
+uninstall:
+	rm -f  /usr/local/bin/surelog
+	rm -rf /usr/local/lib/surelog
diff --git a/README.md b/README.md
index 58dba26..57aa3ff 100644
--- a/README.md
+++ b/README.md
@@ -33,8 +33,6 @@
 
 ```bash
 make
-```
-```bash
 make install (/usr/local/bin and /usr/local/lib/surelog by default, use DESTDIR= for alternative locations)
 ```
 
@@ -104,7 +102,7 @@
    *  -cache <dir>          Specifies the cache directory, default is slpp_all/cache or slpp_unit/cache
    * -createcache          Create cache for precompiled packages
    * -filterdirectives     Filters out simple directives like
-   *                      `default_nettype in pre-processor's output
+   *                       default_nettype in pre-processor's output
    * -filterprotected      Filters out protected regions in pre-processor's output
    * -filtercomments       Filters out comments in pre-processor's output
    * -outputlineinfo       Outputs SLline directives in pre-processor's output
@@ -115,4 +113,10 @@
    * -o <path>             Turns on all compilation stages, produces all outputs under that path
    * --help                This help 
    * --version             Surelog version and build date
+ * RETURN CODE
+   * Bit mask the return code, more than 1 bit can be on.
+   * 0   - No issues
+   * 0x1 - Fatal error(s)
+   * 0x2 - Syntax error(s)
+   * 0x4 - Error(s)
 
diff --git a/src/API/slapi.py b/src/API/slapi.py
index 9f8524a..055221f 100644
--- a/src/API/slapi.py
+++ b/src/API/slapi.py
@@ -1,22 +1,15 @@
 # This file was automatically generated by SWIG (http://www.swig.org).
-# Version 3.0.12
+# Version 3.0.8
 #
 # Do not make changes to this file unless you know what you are doing--modify
 # the SWIG interface file instead.
 
-from sys import version_info as _swig_python_version_info
-if _swig_python_version_info >= (2, 7, 0):
-    def swig_import_helper():
-        import importlib
-        pkg = __name__.rpartition('.')[0]
-        mname = '.'.join((pkg, '_slapi')).lstrip('.')
-        try:
-            return importlib.import_module(mname)
-        except ImportError:
-            return importlib.import_module('_slapi')
-    _slapi = swig_import_helper()
-    del swig_import_helper
-elif _swig_python_version_info >= (2, 6, 0):
+
+
+
+
+from sys import version_info
+if version_info >= (2, 6, 0):
     def swig_import_helper():
         from os.path import dirname
         import imp
@@ -26,27 +19,22 @@
         except ImportError:
             import _slapi
             return _slapi
-        try:
-            _mod = imp.load_module('_slapi', fp, pathname, description)
-        finally:
-            if fp is not None:
+        if fp is not None:
+            try:
+                _mod = imp.load_module('_slapi', fp, pathname, description)
+            finally:
                 fp.close()
-        return _mod
+            return _mod
     _slapi = swig_import_helper()
     del swig_import_helper
 else:
     import _slapi
-del _swig_python_version_info
-
+del version_info
 try:
     _swig_property = property
 except NameError:
     pass  # Python < 2.2 doesn't have 'property'.
 
-try:
-    import builtins as __builtin__
-except ImportError:
-    import __builtin__
 
 def _swig_setattr_nondynamic(self, class_type, name, value, static=1):
     if (name == "thisown"):
@@ -71,30 +59,37 @@
     return _swig_setattr_nondynamic(self, class_type, name, value, 0)
 
 
-def _swig_getattr(self, class_type, name):
+def _swig_getattr_nondynamic(self, class_type, name, static=1):
     if (name == "thisown"):
         return self.this.own()
     method = class_type.__swig_getmethods__.get(name, None)
     if method:
         return method(self)
-    raise AttributeError("'%s' object has no attribute '%s'" % (class_type.__name__, name))
+    if (not static):
+        return object.__getattr__(self, name)
+    else:
+        raise AttributeError(name)
+
+def _swig_getattr(self, class_type, name):
+    return _swig_getattr_nondynamic(self, class_type, name, 0)
 
 
 def _swig_repr(self):
     try:
         strthis = "proxy of " + self.this.__repr__()
-    except __builtin__.Exception:
+    except Exception:
         strthis = ""
     return "<%s.%s; %s >" % (self.__class__.__module__, self.__class__.__name__, strthis,)
 
 try:
     _object = object
     _newclass = 1
-except __builtin__.Exception:
+except AttributeError:
     class _object:
         pass
     _newclass = 0
 
+
 class SwigPyIterator(_object):
     __swig_setmethods__ = {}
     __setattr__ = lambda self, name, value: _swig_setattr(self, SwigPyIterator, name, value)
@@ -241,7 +236,7 @@
         this = _slapi.new_UIntVector(*args)
         try:
             self.this.append(this)
-        except __builtin__.Exception:
+        except Exception:
             self.this = this
 
     def push_back(self, x):
diff --git a/src/API/slapi_wrap.cxx b/src/API/slapi_wrap.cxx
index 6b260e3..a9f81cb 100644
--- a/src/API/slapi_wrap.cxx
+++ b/src/API/slapi_wrap.cxx
@@ -1,6 +1,6 @@
 /* ----------------------------------------------------------------------------
  * This file was automatically generated by SWIG (http://www.swig.org).
- * Version 3.0.12
+ * Version 3.0.8
  *
  * This file is not intended to be easily readable and contains a number of
  * coding conventions designed to improve portability and efficiency. Do not make
@@ -106,11 +106,9 @@
 #endif
 
 /* exporting methods */
-#if defined(__GNUC__)
-#  if (__GNUC__ >= 4) || (__GNUC__ == 3 && __GNUC_MINOR__ >= 4)
-#    ifndef GCC_HASCLASSVISIBILITY
-#      define GCC_HASCLASSVISIBILITY
-#    endif
+#if (__GNUC__ >= 4) || (__GNUC__ == 3 && __GNUC_MINOR__ >= 4)
+#  ifndef GCC_HASCLASSVISIBILITY
+#    define GCC_HASCLASSVISIBILITY
 #  endif
 #endif
 
@@ -670,16 +668,16 @@
     char d = *(c++);
     unsigned char uu;
     if ((d >= '0') && (d <= '9'))
-      uu = (unsigned char)((d - '0') << 4);
+      uu = ((d - '0') << 4);
     else if ((d >= 'a') && (d <= 'f'))
-      uu = (unsigned char)((d - ('a'-10)) << 4);
+      uu = ((d - ('a'-10)) << 4);
     else
       return (char *) 0;
     d = *(c++);
     if ((d >= '0') && (d <= '9'))
-      uu |= (unsigned char)(d - '0');
+      uu |= (d - '0');
     else if ((d >= 'a') && (d <= 'f'))
-      uu |= (unsigned char)(d - ('a'-10));
+      uu |= (d - ('a'-10));
     else
       return (char *) 0;
     *u = uu;
@@ -862,6 +860,10 @@
 }
 #endif
 
+/* Add PyObject_Del for old Pythons */
+#if PY_VERSION_HEX < 0x01060000
+# define PyObject_Del(op) PyMem_DEL((op))
+#endif
 #ifndef PyObject_DEL
 # define PyObject_DEL PyObject_Del
 #endif
@@ -976,7 +978,6 @@
 #if PY_VERSION_HEX < 0x03020000
 #define PyDescr_TYPE(x) (((PyDescrObject *)(x))->d_type)
 #define PyDescr_NAME(x) (((PyDescrObject *)(x))->d_name)
-#define Py_hash_t long
 #endif
 
 /* -----------------------------------------------------------------------------
@@ -1925,6 +1926,7 @@
   static int type_init = 0;
   if (!type_init) {
     const PyTypeObject tmp = {
+      /* PyObject header changed in Python 3 */
 #if PY_VERSION_HEX >= 0x03000000
       PyVarObject_HEAD_INIT(NULL, 0)
 #else
@@ -1935,7 +1937,7 @@
       sizeof(SwigPyObject),                 /* tp_basicsize */
       0,                                    /* tp_itemsize */
       (destructor)SwigPyObject_dealloc,     /* tp_dealloc */
-      0,                                    /* tp_print */
+      0,				    /* tp_print */
 #if PY_VERSION_HEX < 0x02020000
       (getattrfunc)SwigPyObject_getattr,    /* tp_getattr */
 #else
@@ -1943,7 +1945,7 @@
 #endif
       (setattrfunc)0,                       /* tp_setattr */
 #if PY_VERSION_HEX >= 0x03000000
-      0, /* tp_reserved in 3.0.1, tp_compare in 3.0.0 but not used */
+    0, /* tp_reserved in 3.0.1, tp_compare in 3.0.0 but not used */
 #else
       (cmpfunc)SwigPyObject_compare,        /* tp_compare */
 #endif
@@ -1953,7 +1955,7 @@
       0,                                    /* tp_as_mapping */
       (hashfunc)0,                          /* tp_hash */
       (ternaryfunc)0,                       /* tp_call */
-      0,                                    /* tp_str */
+      0,				    /* tp_str */
       PyObject_GenericGetAttr,              /* tp_getattro */
       0,                                    /* tp_setattro */
       0,                                    /* tp_as_buffer */
@@ -2116,6 +2118,7 @@
   static int type_init = 0;
   if (!type_init) {
     const PyTypeObject tmp = {
+      /* PyObject header changed in Python 3 */
 #if PY_VERSION_HEX>=0x03000000
       PyVarObject_HEAD_INIT(NULL, 0)
 #else
@@ -3056,7 +3059,7 @@
 #endif
 #define SWIG_name    "_slapi"
 
-#define SWIGVERSION 0x030012 
+#define SWIGVERSION 0x030008 
 #define SWIG_VERSION SWIGVERSION
 
 
@@ -3149,7 +3152,6 @@
 #endif
 
 
-#include <typeinfo>
 #include <stdexcept>
 
 
@@ -3299,7 +3301,7 @@
     return SWIG_OK;
 #if PY_VERSION_HEX < 0x03000000
   } else if (PyInt_Check(obj)) {
-    if (val) *val = (double) PyInt_AsLong(obj);
+    if (val) *val = PyInt_AsLong(obj);
     return SWIG_OK;
 #endif
   } else if (PyLong_Check(obj)) {
@@ -3420,109 +3422,23 @@
 }
 
 
-#include <limits.h>
-#if !defined(SWIG_NO_LLONG_MAX)
-# if !defined(LLONG_MAX) && defined(__GNUC__) && defined (__LONG_LONG_MAX__)
-#   define LLONG_MAX __LONG_LONG_MAX__
-#   define LLONG_MIN (-LLONG_MAX - 1LL)
-#   define ULLONG_MAX (LLONG_MAX * 2ULL + 1ULL)
-# endif
-#endif
-
-
-#if defined(LLONG_MAX) && !defined(SWIG_LONG_LONG_AVAILABLE)
-#  define SWIG_LONG_LONG_AVAILABLE
-#endif
-
-
-#ifdef SWIG_LONG_LONG_AVAILABLE
-SWIGINTERN int
-SWIG_AsVal_unsigned_SS_long_SS_long (PyObject *obj, unsigned long long *val)
-{
-  int res = SWIG_TypeError;
-  if (PyLong_Check(obj)) {
-    unsigned long long v = PyLong_AsUnsignedLongLong(obj);
-    if (!PyErr_Occurred()) {
-      if (val) *val = v;
-      return SWIG_OK;
-    } else {
-      PyErr_Clear();
-      res = SWIG_OverflowError;
-    }
-  } else {
-    unsigned long v;
-    res = SWIG_AsVal_unsigned_SS_long (obj,&v);
-    if (SWIG_IsOK(res)) {
-      if (val) *val = v;
-      return res;
-    }
-  }
-#ifdef SWIG_PYTHON_CAST_MODE
-  {
-    const double mant_max = 1LL << DBL_MANT_DIG;
-    double d;
-    res = SWIG_AsVal_double (obj,&d);
-    if (SWIG_IsOK(res) && !SWIG_CanCastAsInteger(&d, 0, mant_max))
-      return SWIG_OverflowError;
-    if (SWIG_IsOK(res) && SWIG_CanCastAsInteger(&d, 0, mant_max)) {
-      if (val) *val = (unsigned long long)(d);
-      return SWIG_AddCast(res);
-    }
-    res = SWIG_TypeError;
-  }
-#endif
-  return res;
-}
-#endif
-
-
 SWIGINTERNINLINE int
 SWIG_AsVal_size_t (PyObject * obj, size_t *val)
 {
-  int res = SWIG_TypeError;
-#ifdef SWIG_LONG_LONG_AVAILABLE
-  if (sizeof(size_t) <= sizeof(unsigned long)) {
-#endif
-    unsigned long v;
-    res = SWIG_AsVal_unsigned_SS_long (obj, val ? &v : 0);
-    if (SWIG_IsOK(res) && val) *val = static_cast< size_t >(v);
-#ifdef SWIG_LONG_LONG_AVAILABLE
-  } else if (sizeof(size_t) <= sizeof(unsigned long long)) {
-    unsigned long long v;
-    res = SWIG_AsVal_unsigned_SS_long_SS_long (obj, val ? &v : 0);
-    if (SWIG_IsOK(res) && val) *val = static_cast< size_t >(v);
-  }
-#endif
+  unsigned long v;
+  int res = SWIG_AsVal_unsigned_SS_long (obj, val ? &v : 0);
+  if (SWIG_IsOK(res) && val) *val = static_cast< size_t >(v);
   return res;
 }
 
 
-  #define SWIG_From_long   PyInt_FromLong 
-
-
-#ifdef SWIG_LONG_LONG_AVAILABLE
-SWIGINTERNINLINE PyObject* 
-SWIG_From_long_SS_long  (long long value)
-{
-  return ((value < LONG_MIN) || (value > LONG_MAX)) ?
-    PyLong_FromLongLong(value) : PyInt_FromLong(static_cast< long >(value));
-}
-#endif
+  #define SWIG_From_long   PyLong_FromLong 
 
 
 SWIGINTERNINLINE PyObject *
 SWIG_From_ptrdiff_t  (ptrdiff_t value)
 {    
-#ifdef SWIG_LONG_LONG_AVAILABLE
-  if (sizeof(ptrdiff_t) <= sizeof(long)) {
-#endif
-    return SWIG_From_long  (static_cast< long >(value));
-#ifdef SWIG_LONG_LONG_AVAILABLE
-  } else {
-    /* assume sizeof(ptrdiff_t) <= sizeof(long long) */
-    return SWIG_From_long_SS_long  (static_cast< long long >(value));
-  }
-#endif
+  return SWIG_From_long  (static_cast< long >(value));
 }
 
 
@@ -3576,65 +3492,12 @@
 }
 
 
-#ifdef SWIG_LONG_LONG_AVAILABLE
-SWIGINTERN int
-SWIG_AsVal_long_SS_long (PyObject *obj, long long *val)
-{
-  int res = SWIG_TypeError;
-  if (PyLong_Check(obj)) {
-    long long v = PyLong_AsLongLong(obj);
-    if (!PyErr_Occurred()) {
-      if (val) *val = v;
-      return SWIG_OK;
-    } else {
-      PyErr_Clear();
-      res = SWIG_OverflowError;
-    }
-  } else {
-    long v;
-    res = SWIG_AsVal_long (obj,&v);
-    if (SWIG_IsOK(res)) {
-      if (val) *val = v;
-      return res;
-    }
-  }
-#ifdef SWIG_PYTHON_CAST_MODE
-  {
-    const double mant_max = 1LL << DBL_MANT_DIG;
-    const double mant_min = -mant_max;
-    double d;
-    res = SWIG_AsVal_double (obj,&d);
-    if (SWIG_IsOK(res) && !SWIG_CanCastAsInteger(&d, mant_min, mant_max))
-      return SWIG_OverflowError;
-    if (SWIG_IsOK(res) && SWIG_CanCastAsInteger(&d, mant_min, mant_max)) {
-      if (val) *val = (long long)(d);
-      return SWIG_AddCast(res);
-    }
-    res = SWIG_TypeError;
-  }
-#endif
-  return res;
-}
-#endif
-
-
 SWIGINTERNINLINE int
 SWIG_AsVal_ptrdiff_t (PyObject * obj, ptrdiff_t *val)
 {
-  int res = SWIG_TypeError;
-#ifdef SWIG_LONG_LONG_AVAILABLE
-  if (sizeof(ptrdiff_t) <= sizeof(long)) {
-#endif
-    long v;
-    res = SWIG_AsVal_long (obj, val ? &v : 0);
-    if (SWIG_IsOK(res) && val) *val = static_cast< ptrdiff_t >(v);
-#ifdef SWIG_LONG_LONG_AVAILABLE
-  } else if (sizeof(ptrdiff_t) <= sizeof(long long)) {
-    long long v;
-    res = SWIG_AsVal_long_SS_long (obj, val ? &v : 0);
-    if (SWIG_IsOK(res) && val) *val = static_cast< ptrdiff_t >(v);
-  }
-#endif
+  long v;
+  int res = SWIG_AsVal_long (obj, val ? &v : 0);
+  if (SWIG_IsOK(res) && val) *val = static_cast< ptrdiff_t >(v);
   return res;
 }
 
@@ -3672,21 +3535,8 @@
     return traits<typename noconst_traits<Type >::noconst_type >::type_name();
   }
 
-  template <class Type> struct traits_info {
-    static swig_type_info *type_query(std::string name) {
-      name += " *";
-      return SWIG_TypeQuery(name.c_str());
-    }
-    static swig_type_info *type_info() {
-      static swig_type_info *info = type_query(type_name<Type>());
-      return info;
-    }
-  };
-
-  /*
-    Partial specialization for pointers (traits_info)
-  */
-  template <class Type> struct traits_info<Type *> {
+  template <class Type>
+  struct traits_info {
     static swig_type_info *type_query(std::string name) {
       name += " *";
       return SWIG_TypeQuery(name.c_str());
@@ -3703,7 +3553,7 @@
   }
 
   /*
-    Partial specialization for pointers (traits)
+    Partial specialization for pointers
   */
   template <class Type> struct traits <Type *> {
     typedef pointer_category category;
@@ -3773,8 +3623,7 @@
   struct traits_asptr {   
     static int asptr(PyObject *obj, Type **val) {
       Type *p;
-      swig_type_info *descriptor = type_info<Type>();
-      int res = descriptor ? SWIG_ConvertPtr(obj, (void **)&p, descriptor, 0) : SWIG_ERROR;
+      int res = SWIG_ConvertPtr(obj, (void**)&p, type_info<Type>(), 0);
       if (SWIG_IsOK(res)) {
 	if (val) *val = p;
       }
@@ -3922,7 +3771,7 @@
 
 namespace std {
   template <>
-  struct less <PyObject *>
+  struct less <PyObject *>: public binary_function<PyObject *, PyObject *, bool>
   {
     bool
     operator()(PyObject * v, PyObject *w) const
@@ -3947,7 +3796,7 @@
   };
 
   template <>
-  struct less <swig::SwigPtr_PyObject>
+  struct less <swig::SwigPtr_PyObject>: public binary_function<swig::SwigPtr_PyObject, swig::SwigPtr_PyObject, bool>
   {
     bool
     operator()(const swig::SwigPtr_PyObject& v, const swig::SwigPtr_PyObject& w) const
@@ -3957,7 +3806,7 @@
   };
 
   template <>
-  struct less <swig::SwigVar_PyObject>
+  struct less <swig::SwigVar_PyObject>: public binary_function<swig::SwigVar_PyObject, swig::SwigVar_PyObject, bool>
   {
     bool
     operator()(const swig::SwigVar_PyObject& v, const swig::SwigVar_PyObject& w) const
@@ -4020,7 +3869,7 @@
     if (step == 0) {
       throw std::invalid_argument("slice step cannot be zero");
     } else if (step > 0) {
-      // Required range: 0 <= i < size, 0 <= j < size, i <= j
+      // Required range: 0 <= i < size, 0 <= j < size
       if (i < 0) {
         ii = 0;
       } else if (i < (Difference)size) {
@@ -4028,15 +3877,13 @@
       } else if (insert && (i >= (Difference)size)) {
         ii = (Difference)size;
       }
-      if (j < 0) {
+      if ( j < 0 ) {
         jj = 0;
       } else {
         jj = (j < (Difference)size) ? j : (Difference)size;
       }
-      if (jj < ii)
-        jj = ii;
     } else {
-      // Required range: -1 <= i < size-1, -1 <= j < size-1, i >= j
+      // Required range: -1 <= i < size-1, -1 <= j < size-1
       if (i < -1) {
         ii = -1;
       } else if (i < (Difference) size) {
@@ -4049,8 +3896,6 @@
       } else {
         jj = (j < (Difference)size ) ? j : (Difference)(size-1);
       }
-      if (ii < jj)
-        ii = jj;
     }
   }
 
@@ -4076,13 +3921,6 @@
     seq->erase(position);
   }
 
-  template <class Sequence>
-  struct traits_reserve {
-    static void reserve(Sequence & /*seq*/, typename Sequence::size_type /*n*/) {
-      // This should be specialized for types that support reserve
-    }
-  };
-
   template <class Sequence, class Difference>
   inline Sequence*
   getslice(const Sequence* self, Difference i, Difference j, Py_ssize_t step) {
@@ -4100,7 +3938,6 @@
         return new Sequence(sb, se);
       } else {
         Sequence *sequence = new Sequence();
-        swig::traits_reserve<Sequence>::reserve(*sequence, (jj - ii + step - 1) / step);
         typename Sequence::const_iterator it = sb;
         while (it!=se) {
           sequence->push_back(*it);
@@ -4111,16 +3948,17 @@
       } 
     } else {
       Sequence *sequence = new Sequence();
-      swig::traits_reserve<Sequence>::reserve(*sequence, (ii - jj - step - 1) / -step);
-      typename Sequence::const_reverse_iterator sb = self->rbegin();
-      typename Sequence::const_reverse_iterator se = self->rbegin();
-      std::advance(sb,size-ii-1);
-      std::advance(se,size-jj-1);
-      typename Sequence::const_reverse_iterator it = sb;
-      while (it!=se) {
-        sequence->push_back(*it);
-        for (Py_ssize_t c=0; c<-step && it!=se; ++c)
-          it++;
+      if (ii > jj) {
+        typename Sequence::const_reverse_iterator sb = self->rbegin();
+        typename Sequence::const_reverse_iterator se = self->rbegin();
+        std::advance(sb,size-ii-1);
+        std::advance(se,size-jj-1);
+        typename Sequence::const_reverse_iterator it = sb;
+        while (it!=se) {
+          sequence->push_back(*it);
+          for (Py_ssize_t c=0; c<-step && it!=se; ++c)
+            it++;
+        }
       }
       return sequence;
     }
@@ -4134,11 +3972,12 @@
     Difference jj = 0;
     swig::slice_adjust(i, j, step, size, ii, jj, true);
     if (step > 0) {
+      if (jj < ii)
+        jj = ii;
       if (step == 1) {
         size_t ssize = jj - ii;
         if (ssize <= is.size()) {
           // expanding/staying the same size
-          swig::traits_reserve<Sequence>::reserve(*self, self->size() - ssize + is.size());
           typename Sequence::iterator sb = self->begin();
           typename InputSeq::const_iterator isit = is.begin();
           std::advance(sb,ii);
@@ -4172,6 +4011,8 @@
         }
       }
     } else {
+      if (jj > ii)
+        jj = ii;
       size_t replacecount = (ii - jj - step - 1) / -step;
       if (is.size() != replacecount) {
         char msg[1024];
@@ -4197,32 +4038,36 @@
     Difference jj = 0;
     swig::slice_adjust(i, j, step, size, ii, jj, true);
     if (step > 0) {
-      typename Sequence::iterator sb = self->begin();
-      std::advance(sb,ii);
-      if (step == 1) {
-        typename Sequence::iterator se = self->begin();
-        std::advance(se,jj);
-        self->erase(sb,se);
-      } else {
-        typename Sequence::iterator it = sb;
-        size_t delcount = (jj - ii + step - 1) / step;
-        while (delcount) {
-          it = self->erase(it);
-          for (Py_ssize_t c=0; c<(step-1) && it != self->end(); ++c)
-            it++;
-          delcount--;
+      if (jj > ii) {
+        typename Sequence::iterator sb = self->begin();
+        std::advance(sb,ii);
+        if (step == 1) {
+          typename Sequence::iterator se = self->begin();
+          std::advance(se,jj);
+          self->erase(sb,se);
+        } else {
+          typename Sequence::iterator it = sb;
+          size_t delcount = (jj - ii + step - 1) / step;
+          while (delcount) {
+            it = self->erase(it);
+            for (Py_ssize_t c=0; c<(step-1) && it != self->end(); ++c)
+              it++;
+            delcount--;
+          }
         }
       }
     } else {
-      typename Sequence::reverse_iterator sb = self->rbegin();
-      std::advance(sb,size-ii-1);
-      typename Sequence::reverse_iterator it = sb;
-      size_t delcount = (ii - jj - step - 1) / -step;
-      while (delcount) {
-        it = typename Sequence::reverse_iterator(self->erase((++it).base()));
-        for (Py_ssize_t c=0; c<(-step-1) && it != self->rend(); ++c)
-          it++;
-        delcount--;
+      if (ii > jj) {
+        typename Sequence::reverse_iterator sb = self->rbegin();
+        std::advance(sb,size-ii-1);
+        typename Sequence::reverse_iterator it = sb;
+        size_t delcount = (ii - jj - step - 1) / -step;
+        while (delcount) {
+          it = typename Sequence::reverse_iterator(self->erase((++it).base()));
+          for (Py_ssize_t c=0; c<(-step-1) && it != self->rend(); ++c)
+            it++;
+          delcount--;
+        }
       }
     }
   }
@@ -4679,6 +4524,16 @@
 }
 
 
+#include <limits.h>
+#if !defined(SWIG_NO_LLONG_MAX)
+# if !defined(LLONG_MAX) && defined(__GNUC__) && defined (__LONG_LONG_MAX__)
+#   define LLONG_MAX __LONG_LONG_MAX__
+#   define LLONG_MIN (-LLONG_MAX - 1LL)
+#   define ULLONG_MAX (LLONG_MAX * 2ULL + 1ULL)
+# endif
+#endif
+
+
 SWIGINTERN int
 SWIG_AsVal_unsigned_SS_int (PyObject * obj, unsigned int *val)
 {
@@ -4742,8 +4597,8 @@
     static int asptr(PyObject *obj, sequence **seq) {
       if (obj == Py_None || SWIG_Python_GetSwigThis(obj)) {
 	sequence *p;
-	swig_type_info *descriptor = swig::type_info<sequence>();
-	if (descriptor && SWIG_IsOK(::SWIG_ConvertPtr(obj, (void **)&p, descriptor, 0))) {
+	if (::SWIG_ConvertPtr(obj,(void**)&p,
+			      swig::type_info<sequence>(),0) == SWIG_OK) {
 	  if (seq) *seq = p;
 	  return SWIG_OLDOBJ;
 	}
@@ -4782,7 +4637,7 @@
 #ifdef SWIG_PYTHON_EXTRA_NATIVE_CONTAINERS
       swig_type_info *desc = swig::type_info<sequence>();
       if (desc && desc->clientdata) {
-	return SWIG_InternalNewPointerObj(new sequence(seq), desc, SWIG_POINTER_OWN);
+	return SWIG_NewPointerObj(new sequence(seq), desc, SWIG_POINTER_OWN);
       }
 #endif
       size_type size = seq.size();
@@ -4804,13 +4659,6 @@
 
   namespace swig {
     template <class T>
-    struct traits_reserve<std::vector<T> > {
-      static void reserve(std::vector<T> &seq, typename std::vector<T>::size_type n) {
-        seq.reserve(n);
-      }
-    };
-
-    template <class T>
     struct traits_asptr<std::vector<T> >  {
       static int asptr(PyObject *obj, std::vector<T> **vec) {
 	return traits_asptr_stdseq<std::vector<T> >::asptr(obj, vec);
@@ -4852,33 +4700,14 @@
 SWIG_From_unsigned_SS_long  (unsigned long value)
 {
   return (value > LONG_MAX) ?
-    PyLong_FromUnsignedLong(value) : PyInt_FromLong(static_cast< long >(value));
+    PyLong_FromUnsignedLong(value) : PyLong_FromLong(static_cast< long >(value)); 
 }
 
 
-#ifdef SWIG_LONG_LONG_AVAILABLE
-SWIGINTERNINLINE PyObject* 
-SWIG_From_unsigned_SS_long_SS_long  (unsigned long long value)
-{
-  return (value > LONG_MAX) ?
-    PyLong_FromUnsignedLongLong(value) : PyInt_FromLong(static_cast< long >(value));
-}
-#endif
-
-
 SWIGINTERNINLINE PyObject *
 SWIG_From_size_t  (size_t value)
 {    
-#ifdef SWIG_LONG_LONG_AVAILABLE
-  if (sizeof(size_t) <= sizeof(unsigned long)) {
-#endif
-    return SWIG_From_unsigned_SS_long  (static_cast< unsigned long >(value));
-#ifdef SWIG_LONG_LONG_AVAILABLE
-  } else {
-    /* assume sizeof(size_t) <= sizeof(unsigned long long) */
-    return SWIG_From_unsigned_SS_long_SS_long  (static_cast< unsigned long long >(value));
-  }
-#endif
+  return SWIG_From_unsigned_SS_long  (static_cast< unsigned long >(value));
 }
 
 SWIGINTERN std::vector< unsigned int,std::allocator< unsigned int > > *std_vector_Sl_unsigned_SS_int_Sg____getslice__(std::vector< unsigned int > *self,std::vector< unsigned int >::difference_type i,std::vector< unsigned int >::difference_type j){
@@ -4978,18 +4807,13 @@
 SWIG_AsCharPtrAndSize(PyObject *obj, char** cptr, size_t* psize, int *alloc)
 {
 #if PY_VERSION_HEX>=0x03000000
-#if defined(SWIG_PYTHON_STRICT_BYTE_CHAR)
-  if (PyBytes_Check(obj))
-#else
   if (PyUnicode_Check(obj))
-#endif
 #else  
   if (PyString_Check(obj))
 #endif
   {
     char *cstr; Py_ssize_t len;
 #if PY_VERSION_HEX>=0x03000000
-#if !defined(SWIG_PYTHON_STRICT_BYTE_CHAR)
     if (!alloc && cptr) {
         /* We can't allow converting without allocation, since the internal
            representation of string in Python 3 is UCS-2/UCS-4 but we require
@@ -4998,9 +4822,8 @@
         return SWIG_RuntimeError;
     }
     obj = PyUnicode_AsUTF8String(obj);
-    if(alloc) *alloc = SWIG_NEWOBJ;
-#endif
     PyBytes_AsStringAndSize(obj, &cstr, &len);
+    if(alloc) *alloc = SWIG_NEWOBJ;
 #else
     PyString_AsStringAndSize(obj, &cstr, &len);
 #endif
@@ -5021,34 +4844,26 @@
 	if (*alloc == SWIG_NEWOBJ) 
 #endif
 	{
-	  *cptr = reinterpret_cast< char* >(memcpy(new char[len + 1], cstr, sizeof(char)*(len + 1)));
+	  *cptr = reinterpret_cast< char* >(memcpy((new char[len + 1]), cstr, sizeof(char)*(len + 1)));
 	  *alloc = SWIG_NEWOBJ;
 	} else {
 	  *cptr = cstr;
 	  *alloc = SWIG_OLDOBJ;
 	}
       } else {
-#if PY_VERSION_HEX>=0x03000000
-#if defined(SWIG_PYTHON_STRICT_BYTE_CHAR)
-	*cptr = PyBytes_AsString(obj);
-#else
-	assert(0); /* Should never reach here with Unicode strings in Python 3 */
-#endif
-#else
+	#if PY_VERSION_HEX>=0x03000000
+	assert(0); /* Should never reach here in Python 3 */
+	#endif
 	*cptr = SWIG_Python_str_AsChar(obj);
-#endif
       }
     }
     if (psize) *psize = len + 1;
-#if PY_VERSION_HEX>=0x03000000 && !defined(SWIG_PYTHON_STRICT_BYTE_CHAR)
+#if PY_VERSION_HEX>=0x03000000
     Py_XDECREF(obj);
 #endif
     return SWIG_OK;
   } else {
 #if defined(SWIG_PYTHON_2_UNICODE)
-#if defined(SWIG_PYTHON_STRICT_BYTE_CHAR)
-#error "Cannot use both SWIG_PYTHON_2_UNICODE and SWIG_PYTHON_STRICT_BYTE_CHAR at once"
-#endif
 #if PY_VERSION_HEX<0x03000000
     if (PyUnicode_Check(obj)) {
       char *cstr; Py_ssize_t len;
@@ -5059,7 +4874,7 @@
       if (PyString_AsStringAndSize(obj, &cstr, &len) != -1) {
         if (cptr) {
           if (alloc) *alloc = SWIG_NEWOBJ;
-          *cptr = reinterpret_cast< char* >(memcpy(new char[len + 1], cstr, sizeof(char)*(len + 1)));
+          *cptr = reinterpret_cast< char* >(memcpy((new char[len + 1]), cstr, sizeof(char)*(len + 1)));
         }
         if (psize) *psize = len + 1;
 
@@ -5114,15 +4929,11 @@
 	SWIG_InternalNewPointerObj(const_cast< char * >(carray), pchar_descriptor, 0) : SWIG_Py_Void();
     } else {
 #if PY_VERSION_HEX >= 0x03000000
-#if defined(SWIG_PYTHON_STRICT_BYTE_CHAR)
-      return PyBytes_FromStringAndSize(carray, static_cast< Py_ssize_t >(size));
-#else
 #if PY_VERSION_HEX >= 0x03010000
       return PyUnicode_DecodeUTF8(carray, static_cast< Py_ssize_t >(size), "surrogateescape");
 #else
       return PyUnicode_FromStringAndSize(carray, static_cast< Py_ssize_t >(size));
 #endif
-#endif
 #else
       return PyString_FromStringAndSize(carray, static_cast< Py_ssize_t >(size));
 #endif
@@ -5994,7 +5805,7 @@
 
 SWIGINTERN PyObject *SwigPyIterator_swigregister(PyObject *SWIGUNUSEDPARM(self), PyObject *args) {
   PyObject *obj;
-  if (!PyArg_ParseTuple(args,(char *)"O:swigregister", &obj)) return NULL;
+  if (!PyArg_ParseTuple(args,(char*)"O:swigregister", &obj)) return NULL;
   SWIG_TypeNewClientData(SWIGTYPE_p_swig__SwigPyIterator, SWIG_NewClientData(obj));
   return SWIG_Py_Void();
 }
@@ -7912,7 +7723,7 @@
 
 SWIGINTERN PyObject *UIntVector_swigregister(PyObject *SWIGUNUSEDPARM(self), PyObject *args) {
   PyObject *obj;
-  if (!PyArg_ParseTuple(args,(char *)"O:swigregister", &obj)) return NULL;
+  if (!PyArg_ParseTuple(args,(char*)"O:swigregister", &obj)) return NULL;
   SWIG_TypeNewClientData(SWIGTYPE_p_std__vectorT_unsigned_int_std__allocatorT_unsigned_int_t_t, SWIG_NewClientData(obj));
   return SWIG_Py_Void();
 }
@@ -11784,6 +11595,7 @@
     static int type_init = 0;
     if (!type_init) {
       const PyTypeObject tmp = {
+        /* PyObject header changed in Python 3 */
 #if PY_VERSION_HEX >= 0x03000000
         PyVarObject_HEAD_INIT(NULL, 0)
 #else
@@ -12015,13 +11827,13 @@
   static PyGetSetDef thisown_getset_def = {
     (char *)"thisown", SwigPyBuiltin_GetterClosure, SwigPyBuiltin_SetterClosure, NULL, &thisown_getset_closure
   };
+  PyObject *metatype_args;
   PyTypeObject *builtin_pytype;
   int builtin_base_count;
   swig_type_info *builtin_basetype;
   PyObject *tuple;
   PyGetSetDescrObject *static_getset;
   PyTypeObject *metatype;
-  PyTypeObject *swigpyobject;
   SwigPyClientData *cd;
   PyObject *public_interface, *public_symbol;
   PyObject *this_descr;
@@ -12036,9 +11848,14 @@
   (void)static_getset;
   (void)self;
   
-  /* Metaclass is used to implement static member variables */
-  metatype = SwigPyObjectType();
+  /* metatype is used to implement static member variables. */
+  metatype_args = Py_BuildValue("(s(O){})", "SwigPyObjectType", &PyType_Type);
+  assert(metatype_args);
+  metatype = (PyTypeObject *) PyType_Type.tp_call((PyObject *) &PyType_Type, metatype_args, NULL);
   assert(metatype);
+  Py_DECREF(metatype_args);
+  metatype->tp_setattro = (setattrofunc) &SwigPyObjectType_setattro;
+  assert(PyType_Ready(metatype) >= 0);
 #endif
   
   /* Fix SwigMethods to carry the callback ptrs when needed */
@@ -12056,15 +11873,13 @@
   SWIG_InitializeModule(0);
   
 #ifdef SWIGPYTHON_BUILTIN
-  swigpyobject = SwigPyObject_TypeOnce();
-  
   SwigPyObject_stype = SWIG_MangledTypeQuery("_p_SwigPyObject");
   assert(SwigPyObject_stype);
   cd = (SwigPyClientData*) SwigPyObject_stype->clientdata;
   if (!cd) {
     SwigPyObject_stype->clientdata = &SwigPyObject_clientdata;
-    SwigPyObject_clientdata.pytype = swigpyobject;
-  } else if (swigpyobject->tp_basicsize != cd->pytype->tp_basicsize) {
+    SwigPyObject_clientdata.pytype = SwigPyObject_TypeOnce();
+  } else if (SwigPyObject_TypeOnce()->tp_basicsize != cd->pytype->tp_basicsize) {
     PyErr_SetString(PyExc_RuntimeError, "Import error: attempted to load two incompatible swig-generated modules.");
 # if PY_VERSION_HEX >= 0x03000000
     return NULL;
diff --git a/src/CommandLine/CommandLineParser.cpp b/src/CommandLine/CommandLineParser.cpp
index 7ba34ca..a507994 100644
--- a/src/CommandLine/CommandLineParser.cpp
+++ b/src/CommandLine/CommandLineParser.cpp
@@ -149,7 +149,13 @@
     "  -builtin <path>       Alternative path to builtin.sv, python/ and pkg/ dirs",        
     "outputs under that path",
     "  --help               This help",
-    "  --version            Surelog version"
+    "  --version            Surelog version",
+    "RETURN CODE:",
+    "   Bit mask the return code, more than 1 bit can be on.",
+    "   0   - No issues",
+    "   0x1 - Fatal error(s)",
+    "   0x2 - Syntax error(s)",
+    "   0x4 - Error(s)"
 };
 
 std::string printStringArray(const std::vector<std::string>& array) {
diff --git a/src/Design/FileContent.cpp b/src/Design/FileContent.cpp
index 5eb50ec..c5aa6f0 100644
--- a/src/Design/FileContent.cpp
+++ b/src/Design/FileContent.cpp
@@ -54,7 +54,10 @@
   NodeId index = 0;
 
   if (m_library) text += "LIB:  " + m_library->getName() + "\n";
-  text += "FILE: " + m_symbolTable->getSymbol(m_fileId) + "\n";
+  std::string fileName = m_symbolTable->getSymbol(m_fileId);
+  if (strstr(fileName.c_str(), "builtin.sv"))
+    return "";
+  text += "FILE: " + fileName + "\n";
 
   for (auto object : m_objects) {
     text += object.print(m_symbolTable, index, GetDefinitionFile(index));
diff --git a/src/ErrorReporting/ErrorContainer.cpp b/src/ErrorReporting/ErrorContainer.cpp
index 5d28770..ec5ff0e 100644
--- a/src/ErrorReporting/ErrorContainer.cpp
+++ b/src/ErrorReporting/ErrorContainer.cpp
@@ -141,6 +141,9 @@
           severity = "FATAL";
           reportFatalError = true;
           break;
+        case ErrorDefinition::SYNTAX:
+          severity = "SYNTX";
+          break;
         case ErrorDefinition::ERROR:
           severity = "ERROR";
           break;
@@ -311,6 +314,7 @@
 bool ErrorContainer::printStats(ErrorContainer::Stats stats, bool muteStdout) {
   std::string report;
   report += "[  FATAL] : " + std::to_string(stats.nbFatal) + "\n";
+  report += "[ SYNTAX] : " + std::to_string(stats.nbSyntax) + "\n";
   report += "[  ERROR] : " + std::to_string(stats.nbError) + "\n";
   report += "[WARNING] : " + std::to_string(stats.nbWarning) + "\n";
   // BOGUS NUMBER IN CACHED MODE  report += "[   INFO] : " +
@@ -320,7 +324,7 @@
     std::cout << report << std::flush;
   }
   bool successLogFile = printToLogFile(report);
-  return (successLogFile && (!stats.nbFatal));
+  return (successLogFile && (!stats.nbFatal) && (!stats.nbSyntax));
 }
 
 ErrorContainer::Stats ErrorContainer::getErrorStats() {
@@ -339,6 +343,9 @@
           case ErrorDefinition::FATAL:
             stats.nbFatal++;
             break;
+          case ErrorDefinition::SYNTAX:
+            stats.nbSyntax++;
+            break;
           case ErrorDefinition::ERROR:
             stats.nbError++;
             break;
diff --git a/src/ErrorReporting/ErrorContainer.h b/src/ErrorReporting/ErrorContainer.h
index 072f626..7046138 100644
--- a/src/ErrorReporting/ErrorContainer.h
+++ b/src/ErrorReporting/ErrorContainer.h
@@ -38,9 +38,10 @@
  public:
   class Stats {
    public:
-    Stats() : nbFatal(0), nbError(0), nbWarning(0), nbNote(0), nbInfo(0){};
+    Stats() : nbFatal(0), nbSyntax(0), nbError(0), nbWarning(0), nbNote(0), nbInfo(0){};
     Stats& operator+=(Stats& r) {
       nbFatal += r.nbFatal;
+      nbSyntax += r.nbSyntax;
       nbError += r.nbError;
       nbWarning += r.nbWarning;
       nbNote += r.nbNote;
@@ -48,6 +49,7 @@
       return *this;
     }
     int nbFatal;
+    int nbSyntax;
     int nbError;
     int nbWarning;
     int nbNote;
diff --git a/src/ErrorReporting/ErrorDefinition.cpp b/src/ErrorReporting/ErrorDefinition.cpp
index 54fde66..95e05c7 100644
--- a/src/ErrorReporting/ErrorDefinition.cpp
+++ b/src/ErrorReporting/ErrorDefinition.cpp
@@ -65,6 +65,8 @@
     return INFO;
   else if (errorSeverity == "NOTE" || errorSeverity == "NOTE ")
     return NOTE;
+  else if (errorSeverity == "SYNTX" || errorSeverity == "SYNTAX")
+    return NOTE;
   else
     return FATAL;
 }
@@ -194,11 +196,11 @@
       "Cannot open file \"%s\" for write operation");
   rec(PP_MULTIPLY_DEFINED_MACRO, NOTE, PP, "Multiply defined macro \"%s\"",
       "%exloc previous definition");
-  rec(PP_SYNTAX_ERROR, ERROR, PP, "Syntax error: %s", "%exobj");
+  rec(PP_SYNTAX_ERROR, SYNTAX, PP, "Syntax error: %s", "%exobj");
   rec(PP_TOO_MANY_ARGS_MACRO, ERROR, PP,
       "Too many arguments (%exobj) for macro \"%s\"",
       "%exloc macro definition takes %exobj");
-  rec(PP_MACRO_SYNTAX_ERROR, ERROR, PP, "Syntax error in macro: %s",
+  rec(PP_MACRO_SYNTAX_ERROR, SYNTAX, PP, "Syntax error in macro: %s",
       "%exloc macro instantiation");
   rec(PP_MACRO_NO_DEFAULT_VALUE, ERROR, PP,
       "Macro instantiation omits argument %exobj for \"%s\"",
@@ -244,7 +246,7 @@
   rec(PA_NOTIMESCALE_INFO, WARNING, PARSE, "No timescale set for \"%s\"");
   rec(PA_MISSING_TIMEUNIT, ERROR, PARSE,
       "Missing timeunit/timeprecision for \"%s\"");
-  rec(PA_SYNTAX_ERROR, ERROR, PARSE, "Syntax error: %s", "%exobj");
+  rec(PA_SYNTAX_ERROR, SYNTAX, PARSE, "Syntax error: %s", "%exobj");
   rec(PA_RESERVED_KEYWORD, ERROR, PARSE, "Reserved keyword: %s");
   rec(PA_UNSUPPORTED_KEYWORD_LIST, ERROR, PARSE, "Unsupported keyword set: %s");
   rec(COMP_COMPILE, INFO, COMP, "Compilation..");
diff --git a/src/ErrorReporting/ErrorDefinition.h b/src/ErrorReporting/ErrorDefinition.h
index 2e5a6f8..0266725 100644
--- a/src/ErrorReporting/ErrorDefinition.h
+++ b/src/ErrorReporting/ErrorDefinition.h
@@ -30,7 +30,7 @@
 
 class ErrorDefinition {
  public:
-  typedef enum { FATAL, ERROR, WARNING, INFO, NOTE } ErrorSeverity;
+  typedef enum { FATAL, SYNTAX, ERROR, WARNING, INFO, NOTE } ErrorSeverity;
 
   typedef enum {
     CMD,
diff --git a/src/ErrorReporting/Report.cpp b/src/ErrorReporting/Report.cpp
index 20ac746..2d1f1f0 100644
--- a/src/ErrorReporting/Report.cpp
+++ b/src/ErrorReporting/Report.cpp
@@ -42,6 +42,7 @@
 class Result {
  public:
   std::string m_nbFatal;
+  std::string m_nbSyntax;
   std::string m_nbError;
   std::string m_nbWarning;
   std::string m_nbNote;
@@ -58,6 +59,9 @@
       if (line.find("[  FATAL] : ") != std::string::npos) {
         result.m_nbFatal = line.substr(12, line.size() - 11);
       }
+      if (line.find("[ SYNTAX] : ") != std::string::npos) {
+        result.m_nbSyntax = line.substr(12, line.size() - 11);
+      }
       if (line.find("[  ERROR] : ") != std::string::npos) {
         result.m_nbError = line.substr(12, line.size() - 11);
       }
@@ -110,6 +114,9 @@
   std::cout << "| FATAL | " << std::setw(9) << readUnitResult.m_nbFatal
             << "        | " << std::setw(9) << readAllResult.m_nbFatal
             << "         |" << std::endl;
+  std::cout << "|SYNTAX | " << std::setw(9) << readUnitResult.m_nbSyntax
+            << "        | " << std::setw(9) << readAllResult.m_nbSyntax
+            << "         |" << std::endl;
   std::cout << "| ERROR | " << std::setw(9) << readUnitResult.m_nbError
             << "        | " << std::setw(9) << readAllResult.m_nbError
             << "         |" << std::endl;
@@ -154,6 +161,9 @@
 
   int nbFatal = atoi(readUnitResult.m_nbFatal.c_str()) +
                 atoi(readAllResult.m_nbFatal.c_str());
+  int nbSyntax = atoi(readUnitResult.m_nbSyntax.c_str()) +
+                atoi(readAllResult.m_nbSyntax.c_str());
+
   // m.unlock();
-  return std::make_pair(retval != -1, !nbFatal);
+  return std::make_pair(retval != -1, (!nbFatal) && (!nbSyntax));
 }
diff --git a/src/SourceCompile/CompileSourceFile.cpp b/src/SourceCompile/CompileSourceFile.cpp
index 8fb32f1..c1e45a0 100644
--- a/src/SourceCompile/CompileSourceFile.cpp
+++ b/src/SourceCompile/CompileSourceFile.cpp
@@ -95,8 +95,8 @@
 
 bool CompileSourceFile::compile(Action action) {
   m_action = action;
+  std::string fileName = m_symbolTable->getSymbol(m_fileId);
   if (m_commandLineParser->verbose()) {
-    std::string fileName = m_symbolTable->getSymbol(m_fileId);
     SymbolId fileId = m_fileId;
     if (strstr(fileName.c_str(), "builtin.sv")) {
       fileId = m_symbolTable->registerSymbol("builtin.sv");
@@ -129,8 +129,11 @@
       return postPreprocess_();
     case Parse:
       return parse_();
-    case PythonAPI:
-      return pythonAPI_();
+    case PythonAPI: {
+      if (!strstr(fileName.c_str(), "builtin.sv")) {
+        return pythonAPI_();
+      }
+    }
   }
   return true;
 }
diff --git a/src/SourceCompile/SV3_1aTreeShapeListener.h b/src/SourceCompile/SV3_1aTreeShapeListener.h
index a5fa546..ae281c3 100644
--- a/src/SourceCompile/SV3_1aTreeShapeListener.h
+++ b/src/SourceCompile/SV3_1aTreeShapeListener.h
@@ -30,11 +30,11 @@
 #include <stack>
 #include <map>
 #include <unordered_map>
-#include "../Utils/ParseUtils.h"
-#include "../SourceCompile/SymbolTable.h"
-#include "../Design/TimeInfo.h"
-#include "../Design/DesignElement.h"
-#include "../Design/FileContent.h"
+#include "Utils/ParseUtils.h"
+#include "SourceCompile/SymbolTable.h"
+#include "Design/TimeInfo.h"
+#include "Design/DesignElement.h"
+#include "Design/FileContent.h"
 #include "ParseFile.h"
 #include "CompilationUnit.h"
 #include "CompileSourceFile.h"
diff --git a/src/SourceCompile/VObjectTypes.cpp b/src/SourceCompile/VObjectTypes.cpp
index 50ded84..97180f4 100644
--- a/src/SourceCompile/VObjectTypes.cpp
+++ b/src/SourceCompile/VObjectTypes.cpp
@@ -1,6 +1,6 @@
 
 #include <string>
-#include "../Design/VObject.h"
+#include "Design/VObject.h"
 // This file is automatically generated by generate_parser_listener.tcl
 // DO NOT EDIT
 
diff --git a/src/main.cpp b/src/main.cpp
index c4f6f24..554eaa8 100644
--- a/src/main.cpp
+++ b/src/main.cpp
@@ -40,10 +40,11 @@
 using namespace antlr4;
 #include "API/PythonAPI.h"
 
-std::pair<bool, bool> executeCompilation(int argc, const char ** argv, bool diff_comp_mode, bool fileunit)
+unsigned int executeCompilation(int argc, const char ** argv, bool diff_comp_mode, bool fileunit)
 {
   bool success = true;
   bool noFatalErrors = true;
+  unsigned int codedReturn = 0;
   SURELOG::SymbolTable* symbolTable = new SURELOG::SymbolTable ();
   SURELOG::ErrorContainer* errors = new SURELOG::ErrorContainer (symbolTable);
   SURELOG::CommandLineParser* clp = new SURELOG::CommandLineParser (errors, symbolTable, diff_comp_mode, fileunit);
@@ -53,31 +54,41 @@
     {
       SURELOG::Compiler* compiler = new SURELOG::Compiler (clp, errors, symbolTable);
       success = compiler->compile ();
+      if (!success)
+        codedReturn |= 1;
       delete compiler;
     }
   SURELOG::ErrorContainer::Stats stats;
-  if (!clp->help()) 
+  if (!clp->help()) {
     stats = errors->getErrorStats ();
+    if (stats.nbFatal)
+      codedReturn |= 1;
+    if (stats.nbSyntax)
+      codedReturn |= 2;
+    if (stats.nbError)
+      codedReturn |= 4;
+  }
   bool noFErrors = true;
   if (!clp->help())
      noFErrors = errors->printStats (stats,clp->muteStdout ());
-  if (noFErrors == false)
-    {
-      noFatalErrors = false;
-    }
+  if (noFErrors == false) {
+     noFatalErrors = false;
+  }
   clp->logFooter();
   if (diff_comp_mode && fileunit) 
     {
        SURELOG::Report* report = new SURELOG::Report();
        std::pair<bool, bool> results = report->makeDiffCompUnitReport(clp, symbolTable );
        success = results.first;
-       noFatalErrors = results.second;   
+       noFatalErrors = results.second; 
        delete report;
     }
   delete clp;
   delete symbolTable;
   delete errors;
-  return std::make_pair (success, noFatalErrors);  
+  if ((!noFatalErrors) || (!success))
+    codedReturn |= 1;
+  return codedReturn;  
 }
 
 int
@@ -86,8 +97,7 @@
  
   SURELOG::Waiver::initWaivers();
    
-  bool success       = false;
-  bool noFatalErrors = true;
+  unsigned int codedReturn = 0;
   bool diff_comp_mode = false;
   bool python_mode = true;
   std::string diff_unit_opt = "-diffcompunit";
@@ -120,9 +130,7 @@
       else if (pid > 0)
         {
           // parent process
-          std::pair<bool, bool> results = executeCompilation (argc, argv, true, true);
-          success = results.first;
-          noFatalErrors = results.second;
+          codedReturn = executeCompilation (argc, argv, true, true);
         }
       else
         {
@@ -134,13 +142,11 @@
     }
   else 
     {
-      std::pair<bool, bool> results = executeCompilation(argc, argv, false, false);
-      success = results.first;
-      noFatalErrors = results.second;
+      codedReturn = executeCompilation(argc, argv, false, false);
     }
   if (python_mode)
     SURELOG::PythonAPI::shutdown();
-  return ((!success) || (!noFatalErrors));
+  return codedReturn;
 }
 
 
diff --git a/tests/BeginKeywords/BeginKeywords.log b/tests/BeginKeywords/BeginKeywords.log
index 6db5319..8af5470 100644
--- a/tests/BeginKeywords/BeginKeywords.log
+++ b/tests/BeginKeywords/BeginKeywords.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/BeginKeywords/slpp_all/surelog.log.
 
 LIB:  work
@@ -50,13 +46,8 @@
 [NOTE :EL0523] top.v:8 Instance "work@b_kw2".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 1
 [   NOTE] : 6
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.18user 0.01system 0:00.20elapsed 95%CPU (0avgtext+0avgdata 40052maxresident)k
-16inputs+16outputs (0major+9653minor)pagefaults 0swaps
diff --git a/tests/ClassCons/ClassCons.log b/tests/ClassCons/ClassCons.log
index 1d12af8..89c718b 100644
--- a/tests/ClassCons/ClassCons.log
+++ b/tests/ClassCons/ClassCons.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/ClassCons/slpp_unit/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -22,260 +18,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "definesPkg.pkg".
 
 LIB:  work
@@ -831,13 +573,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 1
 [WARNING] : 2
 [   NOTE] : 6
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.68user 0.02system 0:00.71elapsed 99%CPU (0avgtext+0avgdata 45560maxresident)k
-0inputs+136outputs (0major+14996minor)pagefaults 0swaps
diff --git a/tests/ClassFuncProto/ClassFuncProto.log b/tests/ClassFuncProto/ClassFuncProto.log
index 6464eaf..927954e 100644
--- a/tests/ClassFuncProto/ClassFuncProto.log
+++ b/tests/ClassFuncProto/ClassFuncProto.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/ClassFuncProto/slpp_all/surelog.log.
 
 LIB:  work
@@ -244,13 +240,8 @@
 [ERROR:CP0317] top.v:41 Undefined type "uvm_reg".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 5
 [WARNING] : 1
 [   NOTE] : 6
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.28user 0.01system 0:00.30elapsed 99%CPU (0avgtext+0avgdata 40204maxresident)k
-0inputs+40outputs (0major+11133minor)pagefaults 0swaps
diff --git a/tests/DiffSimpleIncludeAndMacros/DiffSimpleIncludeAndMacros.log b/tests/DiffSimpleIncludeAndMacros/DiffSimpleIncludeAndMacros.log
index 7528f35..1555a47 100644
--- a/tests/DiffSimpleIncludeAndMacros/DiffSimpleIncludeAndMacros.log
+++ b/tests/DiffSimpleIncludeAndMacros/DiffSimpleIncludeAndMacros.log
@@ -1,12 +1,9 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 |-------|------------------|-------------------|
 |       |  FILE UNIT COMP  |  ALL COMPILATION  |
 |-------|------------------|-------------------|
 | FATAL |         0        |         0         |
-| ERROR |        30        |        32         |
+|SYNTAX |         6        |         6         |
+| ERROR |        24        |        26         |
 |WARNING|        19        |        11         |
 | INFO  |                  |                   |
 | NOTE  |        12        |        26         |
@@ -19,9 +16,3 @@
 ../../build/tests/DiffSimpleIncludeAndMacros/slpp_unit/work/top_3.v and ../../build/tests/DiffSimpleIncludeAndMacros/slpp_all/work/top_3.v 
 ../../build/tests/DiffSimpleIncludeAndMacros/slpp_unit/work/top_4.v and ../../build/tests/DiffSimpleIncludeAndMacros/slpp_all/work/top_4.v 
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.03user 0.02system 0:01.08elapsed 98%CPU (0avgtext+0avgdata 46180maxresident)k
-1152inputs+376outputs (3major+21615minor)pagefaults 0swaps
diff --git a/tests/Escape/Escape.log b/tests/Escape/Escape.log
index 04c715f..230e1a6 100644
--- a/tests/Escape/Escape.log
+++ b/tests/Escape/Escape.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/Escape/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -95,13 +91,8 @@
 [ERROR:CP0317] top.v:11 Undefined type "uvm_phase".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 5
 [WARNING] : 10
 [   NOTE] : 8
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.26user 0.02system 0:01.29elapsed 99%CPU (0avgtext+0avgdata 45588maxresident)k
-0inputs+32outputs (0major+14809minor)pagefaults 0swaps
diff --git a/tests/GenerateUnnamed/GenerateUnnamed.log b/tests/GenerateUnnamed/GenerateUnnamed.log
index 7059bf2..820543d 100644
--- a/tests/GenerateUnnamed/GenerateUnnamed.log
+++ b/tests/GenerateUnnamed/GenerateUnnamed.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/GenerateUnnamed/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -12,260 +8,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.v".
 
 LIB:  work
@@ -990,13 +732,8 @@
 [NOTE :EL0523] top.v:111 Instance "work@test2.pp2.n5.g5".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 2
 [   NOTE] : 24
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.54user 0.03system 0:00.59elapsed 97%CPU (0avgtext+0avgdata 40512maxresident)k
-24inputs+112outputs (0major+13562minor)pagefaults 0swaps
diff --git a/tests/InterfaceModPort/InterfaceModPort.log b/tests/InterfaceModPort/InterfaceModPort.log
index 186c350..90d213e 100644
--- a/tests/InterfaceModPort/InterfaceModPort.log
+++ b/tests/InterfaceModPort/InterfaceModPort.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/InterfaceModPort/slpp_all/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -16,260 +12,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.v".
 
 LIB:  work
@@ -996,13 +738,8 @@
 [NOTE :EL0522] top.v:80 Scope "work@interface_modports.U_test.UNNAMED".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 2
 [   NOTE] : 15
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.78user 0.07system 0:01.92elapsed 96%CPU (0avgtext+0avgdata 47248maxresident)k
-16984inputs+112outputs (64major+15555minor)pagefaults 0swaps
diff --git a/tests/LibraryIntercon/LibraryIntercon.log b/tests/LibraryIntercon/LibraryIntercon.log
index bac1a9d..af990f8 100644
--- a/tests/LibraryIntercon/LibraryIntercon.log
+++ b/tests/LibraryIntercon/LibraryIntercon.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/LibraryIntercon/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -11,7 +7,7 @@
 LIB: work
      /home/alain/Surelog/tests/LibraryIntercon/nets.pkg
      /home/alain/Surelog/tests/LibraryIntercon/lib.map
-     /home/alain/Surelog/dist/surelog/sv/builtin.sv
+     /home/alain/Surelog/build/dist/Release/sv/builtin.sv
 
 LIB: realLib
      /home/alain/Surelog/tests/LibraryIntercon/driver.svr
@@ -163,13 +159,8 @@
 [NOTE :EL0522] /home/alain/Surelog/tests/LibraryIntercon/driver.svr:15 Scope "logicLib@top.driverArray3.UNNAMED.UNNAMED".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 3
 [   NOTE] : 29
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.09user 0.04system 0:01.14elapsed 99%CPU (0avgtext+0avgdata 45904maxresident)k
-64inputs+256outputs (0major+14886minor)pagefaults 0swaps
diff --git a/tests/OldLibrary/OldLibrary.log b/tests/OldLibrary/OldLibrary.log
index 7c3fd88..4d565e6 100644
--- a/tests/OldLibrary/OldLibrary.log
+++ b/tests/OldLibrary/OldLibrary.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/OldLibrary/slpp_all/surelog.log.
 
 [INFO :PP0122] Preprocessing source file "builtin.sv".
@@ -72,13 +68,8 @@
 [NOTE :EL0523] top.v:5 Instance "work@top.cell2".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 4
 [   NOTE] : 8
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.17user 0.00system 0:00.18elapsed 97%CPU (0avgtext+0avgdata 40200maxresident)k
-0inputs+144outputs (0major+10452minor)pagefaults 0swaps
diff --git a/tests/PackageHierRef/PackageHierRef.log b/tests/PackageHierRef/PackageHierRef.log
index 4090eb8..fba640d 100644
--- a/tests/PackageHierRef/PackageHierRef.log
+++ b/tests/PackageHierRef/PackageHierRef.log
@@ -1,13 +1,9 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/PackageHierRef/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
 LIB: work
-     /home/alain/Surelog/dist/surelog/sv/builtin.sv
+     /home/alain/Surelog/build/dist/Release/sv/builtin.sv
      /home/alain/Surelog/tests/PackageHierRef/packages.sv
      /home/alain/Surelog/tests/PackageHierRef/top.sv
 
@@ -20,260 +16,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "packages.sv".
 
 LIB:  work
@@ -748,13 +490,8 @@
 [NOTE :EL0523] top.sv:34 Instance "work@m.sB1.sInst".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 2
 [WARNING] : 8
 [   NOTE] : 9
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.40user 0.01system 0:00.43elapsed 98%CPU (0avgtext+0avgdata 40248maxresident)k
-0inputs+120outputs (0major+12035minor)pagefaults 0swaps
diff --git a/tests/PragmaProtect/PragmaProtect.log b/tests/PragmaProtect/PragmaProtect.log
index 289312a..45efb77 100644
--- a/tests/PragmaProtect/PragmaProtect.log
+++ b/tests/PragmaProtect/PragmaProtect.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/PragmaProtect/slpp_all/surelog.log.
 
 [INFO :CP0300] Compilation...
@@ -35,13 +31,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 1
 [WARNING] : 1
 [   NOTE] : 6
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.01user 0.02system 0:01.04elapsed 99%CPU (0avgtext+0avgdata 43348maxresident)k
-0inputs+104outputs (0major+14221minor)pagefaults 0swaps
diff --git a/tests/SimpleClass/SimpleClass.log b/tests/SimpleClass/SimpleClass.log
index c39091c..ce6cde9 100644
--- a/tests/SimpleClass/SimpleClass.log
+++ b/tests/SimpleClass/SimpleClass.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/SimpleClass/slpp_unit/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -18,260 +14,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.sv".
 
 LIB:  work
@@ -378,13 +120,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 1
 [WARNING] : 2
 [   NOTE] : 6
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.20user 0.01system 0:00.22elapsed 98%CPU (0avgtext+0avgdata 40072maxresident)k
-0inputs+72outputs (0major+10828minor)pagefaults 0swaps
diff --git a/tests/SimpleClass1/SimpleClass1.log b/tests/SimpleClass1/SimpleClass1.log
index aadd6cd..15cf1d1 100644
--- a/tests/SimpleClass1/SimpleClass1.log
+++ b/tests/SimpleClass1/SimpleClass1.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/SimpleClass1/slpp_all/surelog.log.
 
 [WARNI:PP0113] ../../third_party/UVM/uvm-1.2/src/macros/uvm_object_defines.svh:1573 Unused macro argument "VAL".
@@ -780,13 +776,8 @@
 [ERROR:CP0333] top.v:145 Undefined system task/function "$foo".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 8
 [WARNING] : 15
 [   NOTE] : 8
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.76user 0.06system 0:01.83elapsed 99%CPU (0avgtext+0avgdata 101232maxresident)k
-16inputs+7736outputs (0major+34747minor)pagefaults 0swaps
diff --git a/tests/SimpleClass2/SimpleClass2.log b/tests/SimpleClass2/SimpleClass2.log
index cd5693d..a70796e 100644
--- a/tests/SimpleClass2/SimpleClass2.log
+++ b/tests/SimpleClass2/SimpleClass2.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/SimpleClass2/slpp_all/surelog.log.
 
 [WARNI:PA0205] top.v:8 No timescale set for "p1".
@@ -51,13 +47,8 @@
                top.v:11 type definition.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 2
 [WARNING] : 3
 [   NOTE] : 4
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.65user 0.02system 0:00.68elapsed 98%CPU (0avgtext+0avgdata 40068maxresident)k
-16inputs+88outputs (0major+12607minor)pagefaults 0swaps
diff --git a/tests/SimpleCmdLineTest/SimpleCmdLineTest.log b/tests/SimpleCmdLineTest/SimpleCmdLineTest.log
index c7cddfe..40c3149 100644
--- a/tests/SimpleCmdLineTest/SimpleCmdLineTest.log
+++ b/tests/SimpleCmdLineTest/SimpleCmdLineTest.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/SimpleCmdLineTest/slpp_all/surelog.log.
 
 [WARNI:CM0010] Command line argument "-bad" ignored.
@@ -25,13 +21,8 @@
 [WARNI:PA0205] /home/alain/Surelog/tests/SimpleCmdLineTest/cell.v:1 No timescale set for "out".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 3
 [   NOTE] : 1
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.11user 0.02system 0:00.15elapsed 96%CPU (0avgtext+0avgdata 40220maxresident)k
-0inputs+96outputs (0major+9896minor)pagefaults 0swaps
diff --git a/tests/SimpleCmdLineTest/TestBasic.log b/tests/SimpleCmdLineTest/TestBasic.log
index 7fe9dc8..950ca78 100644
--- a/tests/SimpleCmdLineTest/TestBasic.log
+++ b/tests/SimpleCmdLineTest/TestBasic.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/TestBasic/slpp_all/surelog.log.
 
 [FATAL:CM0008] Verilog File "bad" does not exist.
@@ -9,14 +5,8 @@
 [FATAL:CM0008] Verilog File "command" does not exist.
 
 [  FATAL] : 2
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 0
 [   NOTE] : 0
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-Command exited with non-zero status 1
-0.08user 0.03system 0:00.12elapsed 96%CPU (0avgtext+0avgdata 40036maxresident)k
-0inputs+8outputs (0major+9594minor)pagefaults 0swaps
diff --git a/tests/SimpleConstraint/SimpleConstraint.log b/tests/SimpleConstraint/SimpleConstraint.log
index 94350cf..4c62824 100644
--- a/tests/SimpleConstraint/SimpleConstraint.log
+++ b/tests/SimpleConstraint/SimpleConstraint.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/SimpleConstraint/slpp_all/surelog.log.
 
 Scan libraries took 0.000s
@@ -14,260 +10,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.sv".
 
 LIB:  work
@@ -995,9 +737,9 @@
 n<> u<720> t<Description> p<721> c<719> l<4>
 n<> u<721> t<Source_text> p<722> c<17> l<2>
 n<> u<722> t<Top_level_rule> l<2>
-Parsing took 1.194s
-SSL Parsing: 0.036 ../../build/tests/SimpleConstraint/slpp_all/work//home/alain/Surelog/dist/surelog/bin/__/sv/builtin.sv
-SSL Parsing: 1.150 ../../build/tests/SimpleConstraint/slpp_all/work/top.sv
+Parsing took 1.102s
+SSL Parsing: 0.040 ../../build/tests/SimpleConstraint/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
+SSL Parsing: 1.056 ../../build/tests/SimpleConstraint/slpp_all/work/top.sv
 
 [WARNI:PA0205] top.sv:4 No timescale set for "constaint_mode_ex".
 
@@ -1015,7 +757,7 @@
 
 [WARNI:CP0314] top.sv:4 Using programs is discouraged "work@constaint_mode_ex", programs are obsoleted by UVM.
 
-Compilation took 0.002s
+Compilation took 0.000s
 
 [INFO :EL0526] Design Elaboration...
 
@@ -1034,22 +776,17 @@
 ==============
 Scan libraries took 0.000s
 Preprocessing took 0.010s
-Parsing took 1.194s
-SSL Parsing: 0.036 ../../build/tests/SimpleConstraint/slpp_all/work//home/alain/Surelog/dist/surelog/bin/__/sv/builtin.sv
-SSL Parsing: 1.150 ../../build/tests/SimpleConstraint/slpp_all/work/top.sv
-Compilation took 0.002s
+Parsing took 1.102s
+SSL Parsing: 0.040 ../../build/tests/SimpleConstraint/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
+SSL Parsing: 1.056 ../../build/tests/SimpleConstraint/slpp_all/work/top.sv
+Compilation took 0.000s
 Elaboration took 0.000s
-Total time 1.204s
+Total time 1.112s
 ==============
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 2
 [   NOTE] : 4
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.32user 0.01system 0:01.34elapsed 99%CPU (0avgtext+0avgdata 48828maxresident)k
-0inputs+120outputs (0major+15991minor)pagefaults 0swaps
diff --git a/tests/SimpleIncludeAndMacros/SimpleIncludeAndMacros.log b/tests/SimpleIncludeAndMacros/SimpleIncludeAndMacros.log
index 45b1e96..1ad1a7f 100644
--- a/tests/SimpleIncludeAndMacros/SimpleIncludeAndMacros.log
+++ b/tests/SimpleIncludeAndMacros/SimpleIncludeAndMacros.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/SimpleIncludeAndMacros/slpp_all/surelog.log.
 
 [WARNI:CM0007] Library path "blah" does not exist.
@@ -42,7 +38,7 @@
 
 [ERROR:PP0102] top.v:44 Unknown macro "TOP".
 
-[ERROR:PP0106] top_1.v:13 Syntax error: missing Simple_identifier at '\n',
+[SYNTX:PP0106] top_1.v:13 Syntax error: missing Simple_identifier at '\n',
 `default_nettype 
                  ^-- top_1.v:13 col:17.
 
@@ -147,785 +143,29 @@
 [ERROR:PP0120] mode.vh:15 Illegal directive in design element "`timescale",
                top_4.v:34 macro instance.
 
-[ERROR:PA0207] mode.vh:27 Syntax error: mismatched input 'initial' expecting <EOF>,
+[SYNTX:PA0207] mode.vh:27 Syntax error: mismatched input 'initial' expecting <EOF>,
 initial $display("start", "msg1"  , "msg2" , "end");
 ^-- ../../build/tests/SimpleIncludeAndMacros/slpp_all/work/top.v:33 col:0.
 
-[ERROR:PA0207] mode.vh:9 Syntax error: mismatched input 'initial' expecting <EOF>,
+[SYNTX:PA0207] mode.vh:9 Syntax error: mismatched input 'initial' expecting <EOF>,
 initial $display("start", "msg1"  , "msg2" , "end");
 ^-- ../../build/tests/SimpleIncludeAndMacros/slpp_all/work/top_1.v:33 col:0.
 
-[ERROR:PA0207] top_2.v:45 Syntax error: token recognition error at: '"start of string\n',
+[SYNTX:PA0207] top_2.v:45 Syntax error: token recognition error at: '"start of string\n',
 "start of string
 ^-- ../../build/tests/SimpleIncludeAndMacros/slpp_all/work/top_2.v:117 col:0.
 
-[ERROR:PA0207] mode.vh:9 Syntax error: mismatched input 'initial' expecting <EOF>,
+[SYNTX:PA0207] mode.vh:9 Syntax error: mismatched input 'initial' expecting <EOF>,
 initial $display("start", "msg1"  , "msg2" , "end");
 ^-- ../../build/tests/SimpleIncludeAndMacros/slpp_all/work/top_3.v:35 col:0.
 
 [ERROR:PA0203] top_3.v:6 Unknown macro "TOP".
 
-[ERROR:PA0207] top_4.v:51 Syntax error: token recognition error at: '\',
+[SYNTX:PA0207] top_4.v:51 Syntax error: token recognition error at: '\',
 [a,b]+(300,400)+{500,600}+"400,600"+\escaped,here 
                                     ^-- ../../build/tests/SimpleIncludeAndMacros/slpp_all/work/top_4.v:122 col:36.
 
 enterTop_level_rule
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterNull_rule
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text:  ...
-enterSource_text
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: mailbox  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: new ( int bound = 0  ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: new  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int bound = 0  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int bound = 0  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: bound  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 7
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int num ( ) ; endfun ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: num  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 10
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: put ( message ) ; en ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 13
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: try_put ( message )  ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: try_put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 16
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: get ( ref message )  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 19
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int try_get ( ref me ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: try_get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 22
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: peek ( ref message ) ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: peek  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 25
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int try_peek ( ref m ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: try_peek  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 28
-  Text: endfunction  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 30
-  Text: endclass  ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: process  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterClass_property
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterData_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterType_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: enum { FINISHED , RU ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: FINISHED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: FINISHED  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: RUNNING  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: RUNNING  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: WAITING  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: WAITING  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: SUSPENDED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: SUSPENDED  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: KILLED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: KILLED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: state  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static function proc ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static function proc ...
-enterMethodQualifier_ClassItem
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static  ...
-enterClassItemQualifier_Static
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static  ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: function process sel ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process self ( ) ; e ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: self  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 38
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state status ( ) ; e ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: status  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 41
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: kill ( ) ; endtask  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: kill  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 44
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: await ( ) ; endtask  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: await  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 47
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: suspend ( ) ; endtas ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: suspend  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 50
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: resume ( ) ; endtask ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: resume  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 53
-  Text: endtask  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 55
-  Text: endclass  ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: semaphore  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: new ( int keyCount = ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: new  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int keyCount = 0  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int keyCount = 0  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 61
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: put ( int keyCount = ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 64
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: get ( int keyCount = ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 67
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int try_get ( int ke ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: try_get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 70
-  Text: endfunction  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 72
-  Text: endclass  ...
-enterTop_level_rule
   File: top.v , 2
   Text: `timescale 10 ns / 1 ...
 enterNull_rule
@@ -2078,7 +1318,58 @@
   File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 28
   Text: ?  ...
 enterLevel_symbol
-  File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 28
+  File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v[INFO :CP0300] Compilation...
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:14 Compile module "work@FAKELIB_BUF".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:17 Compile module "work@FAKELIB_BUF_BIGLOAD".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:20 Compile module "work@FAKELIB_DFF".
+
+[INFO :CP0305] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:25 Compile udp "work@FAKELIB_DFF_PRIMITIVE".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:11 Compile module "work@FAKELIB_INV".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:2 Compile module "work@FAKELIB_NAND2".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:5 Compile module "work@FAKELIB_NAND4".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:8 Compile module "work@FAKELIB_NOR2".
+
+[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
+
+[INFO :CP0302] builtin.sv:33 Compile class "work@process".
+
+[INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:14 Implicit port type (wire) for "OUT".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:17 Implicit port type (wire) for "OUT".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:11 Implicit port type (wire) for "OUT".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:2 Implicit port type (wire) for "OUT".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:5 Implicit port type (wire) for "OUT".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:8 Implicit port type (wire) for "OUT".
+
+[INFO :EL0526] Design Elaboration...
+
+[NOTE :EL0508] Nb Top level modules: 0.
+
+[NOTE :EL0509] Max instance depth: 0.
+
+[NOTE :EL0510] Nb instances: 0.
+
+[NOTE :EL0511] Nb leaf instances: 0.
+
+[  FATAL] : 0
+[ SYNTAX] : 6
+[  ERROR] : 26
+[WARNING] : 10
+[   NOTE] : 26
+ , 28
   Text: 0  ...
 enterLevel_symbol
   File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 28
@@ -2208,57 +1499,7 @@
   Text: ? 11 *  ...
 enterEdge_input_list
   File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 33
-  Text:[INFO :CP0300] Compilation...
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:14 Compile module "work@FAKELIB_BUF".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:17 Compile module "work@FAKELIB_BUF_BIGLOAD".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:20 Compile module "work@FAKELIB_DFF".
-
-[INFO :CP0305] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:25 Compile udp "work@FAKELIB_DFF_PRIMITIVE".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:11 Compile module "work@FAKELIB_INV".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:2 Compile module "work@FAKELIB_NAND2".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:5 Compile module "work@FAKELIB_NAND4".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:8 Compile module "work@FAKELIB_NOR2".
-
-[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
-
-[INFO :CP0302] builtin.sv:33 Compile class "work@process".
-
-[INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:14 Implicit port type (wire) for "OUT".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:17 Implicit port type (wire) for "OUT".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:11 Implicit port type (wire) for "OUT".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:2 Implicit port type (wire) for "OUT".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:5 Implicit port type (wire) for "OUT".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:8 Implicit port type (wire) for "OUT".
-
-[INFO :EL0526] Design Elaboration...
-
-[NOTE :EL0508] Nb Top level modules: 0.
-
-[NOTE :EL0509] Max instance depth: 0.
-
-[NOTE :EL0510] Nb instances: 0.
-
-[NOTE :EL0511] Nb leaf instances: 0.
-
-[  FATAL] : 0
-[  ERROR] : 32
-[WARNING] : 10
-[   NOTE] : 26
- ? 11 *  ...
+  Text: ? 11 *  ...
 enterLevel_symbol
   File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 33
   Text: ?  ...
@@ -2284,9 +1525,3 @@
   File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 35
   Text: endprimitive  ...
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.15user 0.02system 0:01.18elapsed 99%CPU (0avgtext+0avgdata 47108maxresident)k
-0inputs+520outputs (0major+14923minor)pagefaults 0swaps
diff --git a/tests/SimpleIncludeAndMacros/UnitSimpleIncludeAndMacros.log b/tests/SimpleIncludeAndMacros/UnitSimpleIncludeAndMacros.log
index 1114a13..de4a1ee 100644
--- a/tests/SimpleIncludeAndMacros/UnitSimpleIncludeAndMacros.log
+++ b/tests/SimpleIncludeAndMacros/UnitSimpleIncludeAndMacros.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitSimpleIncludeAndMacros/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -58,7 +54,7 @@
 
 [INFO :PP0122] Preprocessing source file "top_1.v".
 
-[ERROR:PP0106] top_1.v:13 Syntax error: missing Simple_identifier at '\n',
+[SYNTX:PP0106] top_1.v:13 Syntax error: missing Simple_identifier at '\n',
 `default_nettype 
                  ^-- top_1.v:13 col:17.
 
@@ -132,31 +128,31 @@
 
 [INFO :PA0201] Parsing source file "top.v".
 
-[ERROR:PA0207] mode.vh:27 Syntax error: mismatched input 'initial' expecting <EOF>,
+[SYNTX:PA0207] mode.vh:27 Syntax error: mismatched input 'initial' expecting <EOF>,
 initial $display("start", "msg1"  , "msg2" , "end");
 ^-- ../../build/tests/UnitSimpleIncludeAndMacros/slpp_unit/work/top.v:33 col:0.
 
 [INFO :PA0201] Parsing source file "top_1.v".
 
-[ERROR:PA0207] mode.vh:9 Syntax error: mismatched input 'initial' expecting <EOF>,
+[SYNTX:PA0207] mode.vh:9 Syntax error: mismatched input 'initial' expecting <EOF>,
 initial $display("start", "msg1"  , "msg2" , "end");
 ^-- ../../build/tests/UnitSimpleIncludeAndMacros/slpp_unit/work/top_1.v:33 col:0.
 
 [INFO :PA0201] Parsing source file "top_2.v".
 
-[ERROR:PA0207] top_2.v:45 Syntax error: token recognition error at: '"start of string\n',
+[SYNTX:PA0207] top_2.v:45 Syntax error: token recognition error at: '"start of string\n',
 "start of string
 ^-- ../../build/tests/UnitSimpleIncludeAndMacros/slpp_unit/work/top_2.v:117 col:0.
 
 [INFO :PA0201] Parsing source file "top_3.v".
 
-[ERROR:PA0207] top_3.v:6 Syntax error: mismatched input '1' expecting <EOF>,
+[SYNTX:PA0207] top_3.v:6 Syntax error: mismatched input '1' expecting <EOF>,
 1 + 1 + 42 +   100 + c  
 ^-- ../../build/tests/UnitSimpleIncludeAndMacros/slpp_unit/work/top_3.v:3 col:0.
 
 [INFO :PA0201] Parsing source file "top_4.v".
 
-[ERROR:PA0207] top_4.v:51 Syntax error: token recognition error at: '\',
+[SYNTX:PA0207] top_4.v:51 Syntax error: token recognition error at: '\',
 [a,b]+(300,400)+{500,600}+"400,600"+\escaped,here 
                                     ^-- ../../build/tests/UnitSimpleIncludeAndMacros/slpp_unit/work/top_4.v:122 col:36.
 
@@ -180,663 +176,7 @@
 
 [INFO :PY0400] Processing source file "builtin.sv".
 
-enterTop_level_rule
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterNull_rule
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text:  ...
-enterSource_text
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: mailbox  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: new ( int bound = 0  ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: new  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int bound = 0  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int bound = 0  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: bound  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 7
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int num ( ) ; endfun ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: num  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 10
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: put ( message ) ; en ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 13
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: try_put ( message )  ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: try_put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 16
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: get ( ref message )  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 19
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int try_get ( ref me ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: try_get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 22
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: peek ( ref message ) ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: peek  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 25
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int try_peek ( ref m ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: try_peek  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 28
-  Text: endfunction  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 30
-  Text: endclass  ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: process  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterClass_property
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterData_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterType_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: enum { FINISHED , RU ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: FINISHED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: FINISHED  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: RUNNING  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: RUNNING  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: WAITING  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: WAITING  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: SUSPENDED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: SUSPENDED  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: KILLED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: KILLED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: state  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static function proc ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static function proc ...
-enterMethodQualifier_ClassItem
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static  ...
-enterClassItemQualifier_Static
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static  ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: function process sel ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process self ( ) ; e ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: self  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 38
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state status ( ) ; e ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: status  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 41
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: kill ( ) ; endtask  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: kill  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 44
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: await ( ) ; endtask  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: await  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 47
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: suspend ( ) ; endtas ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: suspend  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 50
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: resume ( ) ; endtask ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: resume  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 53
-  Text: endtask  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 55
-  Text: endclass  ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: semaphore  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: new ( int keyCount = ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: new  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int keyCount = 0  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int keyCount = 0  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 61
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: put ( int keyCount = ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 64
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: get ( int keyCount = ...[INFO :PY0400] Processing source file "top.v".
+[INFO :PY0400] Processing source file "top.v".
 
 [INFO :PY0400] Processing source file "top_1.v".
 
@@ -848,106 +188,6 @@
 
 [INFO :PY0400] Processing source file "/home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v".
 
-
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 67
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int try_get ( int ke ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: try_get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 70
-  Text: endfunction  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 72
-  Text: endclass  ...
 enterTop_level_rule
   File: top.v , 2
   Text: `timescale 10 ns / 1 ...
@@ -2098,7 +1338,58 @@
   File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 28
   Text: 0  ...
 enterLevel_symbol
-  File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 28
+  File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v ,[INFO :CP0300] Compilation...
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:14 Compile module "work@FAKELIB_BUF".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:17 Compile module "work@FAKELIB_BUF_BIGLOAD".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:20 Compile module "work@FAKELIB_DFF".
+
+[INFO :CP0305] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:25 Compile udp "work@FAKELIB_DFF_PRIMITIVE".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:11 Compile module "work@FAKELIB_INV".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:2 Compile module "work@FAKELIB_NAND2".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:5 Compile module "work@FAKELIB_NAND4".
+
+[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:8 Compile module "work@FAKELIB_NOR2".
+
+[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
+
+[INFO :CP0302] builtin.sv:33 Compile class "work@process".
+
+[INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:14 Implicit port type (wire) for "OUT".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:17 Implicit port type (wire) for "OUT".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:11 Implicit port type (wire) for "OUT".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:2 Implicit port type (wire) for "OUT".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:5 Implicit port type (wire) for "OUT".
+
+[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:8 Implicit port type (wire) for "OUT".
+
+[INFO :EL0526] Design Elaboration...
+
+[NOTE :EL0508] Nb Top level modules: 0.
+
+[NOTE :EL0509] Max instance depth: 0.
+
+[NOTE :EL0510] Nb instances: 0.
+
+[NOTE :EL0511] Nb leaf instances: 0.
+
+[  FATAL] : 0
+[ SYNTAX] : 6
+[  ERROR] : 24
+[WARNING] : 18
+[   NOTE] : 12
+ 28
   Text: ?  ...
 enterLevel_symbol
   File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 28
@@ -2231,57 +1522,7 @@
   Text: ?  ...
 enterLevel_symbol
   File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 33
-  Text:[INFO :CP0300] Compilation...
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:14 Compile module "work@FAKELIB_BUF".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:17 Compile module "work@FAKELIB_BUF_BIGLOAD".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:20 Compile module "work@FAKELIB_DFF".
-
-[INFO :CP0305] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:25 Compile udp "work@FAKELIB_DFF_PRIMITIVE".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:11 Compile module "work@FAKELIB_INV".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:2 Compile module "work@FAKELIB_NAND2".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:5 Compile module "work@FAKELIB_NAND4".
-
-[INFO :CP0303] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:8 Compile module "work@FAKELIB_NOR2".
-
-[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
-
-[INFO :CP0302] builtin.sv:33 Compile class "work@process".
-
-[INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:14 Implicit port type (wire) for "OUT".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:17 Implicit port type (wire) for "OUT".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:11 Implicit port type (wire) for "OUT".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:2 Implicit port type (wire) for "OUT".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:5 Implicit port type (wire) for "OUT".
-
-[NOTE :CP0309] /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v:8 Implicit port type (wire) for "OUT".
-
-[INFO :EL0526] Design Elaboration...
-
-[NOTE :EL0508] Nb Top level modules: 0.
-
-[NOTE :EL0509] Max instance depth: 0.
-
-[NOTE :EL0510] Nb instances: 0.
-
-[NOTE :EL0511] Nb leaf instances: 0.
-
-[  FATAL] : 0
-[  ERROR] : 30
-[WARNING] : 18
-[   NOTE] : 12
- 11  ...
+  Text: 11  ...
 enterEdge_indicator
   File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 33
   Text: *  ...
@@ -2301,9 +1542,3 @@
   File: /home/alain/Surelog/tests/SimpleIncludeAndMacros/lib.v , 35
   Text: endprimitive  ...
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.00user 0.01system 0:01.02elapsed 99%CPU (0avgtext+0avgdata 46708maxresident)k
-0inputs+456outputs (0major+14857minor)pagefaults 0swaps
diff --git a/tests/SimpleInterface/SimpleInterface.log b/tests/SimpleInterface/SimpleInterface.log
index b542d91..b3af0c2 100644
--- a/tests/SimpleInterface/SimpleInterface.log
+++ b/tests/SimpleInterface/SimpleInterface.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/SimpleInterface/slpp_all/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -64,260 +60,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "../../third_party/UVM/uvm-1.2/src/uvm_pkg.sv".
 
 [INFO :PA0201] Parsing source file "simple_if.sv".
@@ -2080,13 +1822,8 @@
 [NOTE :EL0522] simple_if.sv:114 Scope "work@tb.UNNAMED.UNNAMED".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 3
 [WARNING] : 13
 [   NOTE] : 20
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-2.63user 0.04system 0:02.68elapsed 99%CPU (0avgtext+0avgdata 100680maxresident)k
-0inputs+7664outputs (0major+34806minor)pagefaults 0swaps
diff --git a/tests/SimpleTask/SimpleTask.log b/tests/SimpleTask/SimpleTask.log
index 593740c..9e0550f 100644
--- a/tests/SimpleTask/SimpleTask.log
+++ b/tests/SimpleTask/SimpleTask.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/SimpleTask/slpp_all/surelog.log.
 
 [NOTE :PP0105] top_1.v:4 Multiply defined macro "append",
@@ -81,13 +77,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 2
 [   NOTE] : 20
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.39user 0.04system 0:01.44elapsed 99%CPU (0avgtext+0avgdata 47020maxresident)k
-48inputs+576outputs (0major+15315minor)pagefaults 0swaps
diff --git a/tests/SplitFile/SplitFile.log b/tests/SplitFile/SplitFile.log
index 815f39b..7f5897c 100644
--- a/tests/SplitFile/SplitFile.log
+++ b/tests/SplitFile/SplitFile.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/SplitFile/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -217,13 +213,8 @@
 [NOTE :EL0523] top.v:28 Instance "work@bottom1.u2.g_datapath:0:g_io".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 13
 [WARNING] : 17
 [   NOTE] : 27
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.84user 0.03system 0:00.89elapsed 98%CPU (0avgtext+0avgdata 47736maxresident)k
-104inputs+152outputs (1major+15425minor)pagefaults 0swaps
diff --git a/tests/TestFileSplit/TestFileSplit.log b/tests/TestFileSplit/TestFileSplit.log
index c9cee27..6a1f5ca 100644
--- a/tests/TestFileSplit/TestFileSplit.log
+++ b/tests/TestFileSplit/TestFileSplit.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/TestFileSplit/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -18,260 +14,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.v".
 
 LIB:  work
@@ -378,764 +120,80 @@
 
 [INFO :PY0400] Processing source file "builtin.sv".
 
-enterTop_level_rule
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterNull_rule
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text:  ...
-enterSource_text
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: mailbox  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: new ( int bound = 0  ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: new  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int bound = 0  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int bound = 0  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: bound  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 7
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int num ( ) ; endfun ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: num  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 10
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: put ( message ) ; en ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 13
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: try_put ( message )  ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: try_put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 16
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: get ( ref message )  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 19
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int try_get ( ref me ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: try_get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 22
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: peek ( ref message ) ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: peek  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 25
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int try_peek ( ref m ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: try_peek  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 28
-  Text: endfunction  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 30
-  Text: endclass  ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: process  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterClass_property
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterData_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterType_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: enum { FINISHED , RU ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: FINISHED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: FINISHED  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: RUNNING  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: RUNNING  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: WAITING  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: WAITING  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: SUSPENDED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: SUSPENDED  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: KILLED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: KILLED  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: state  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static function proc ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static function proc ...
-enterMethodQualifier_ClassItem
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static  ...
-enterClassItemQualifier_Static
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static  ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: function process sel ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process self ( ) ; e ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: self  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 38
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state status ( ) ; e ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: status  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 41
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: kill ( ) ; endtask  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: kill  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 44
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: await ( ) ; endtask  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: await  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 47
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: suspend ( ) ; endtas ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: suspend  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 50
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: resume ( ) ; endtask ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: resume  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 53
-  Text: endtask  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 55
-  Text: endclass  ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: semaphore  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: new ( int keyCount = ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: new  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int keyCount = 0  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int keyCount = 0  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 61
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: put ( int keyCount = ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 64
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: get ( int keyCount = ...[INFO :PY0400] Processing source file "top.v".
+[INFO :PY0400] Processing source file "top.v".
 
+[INFO :CP0300] Compilation...
 
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 67
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int try_get ( int ke ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: try_get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 70
-  Text: endfunction  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 72
-  Text: endclass  ...
+[INFO :CP0301] top.v:1 Compile package "top1_1".
+
+[INFO :CP0303] top.v:48 Compile module "work@bottom_49".
+
+[INFO :CP0303] mod.v:3 Compile module "work@mod_3".
+
+[INFO :CP0303] top.v:42 Compile module "work@top_43".
+
+[INFO :CP0302] leaf.sv:13 Compile class "top1_1::leaf_13".
+
+[INFO :CP0302] leaf.sv:13 Compile class "top1_1::leaf_13".
+
+[INFO :CP0302] middle.v:17 Compile class "top1_1::middle_17".
+
+[INFO :CP0302] middle.v:17 Compile class "top1_1::middle_17".
+
+[INFO :CP0302] middle.v:24 Compile class "top1_1::middle_25".
+
+[INFO :CP0302] middle.v:24 Compile class "top1_1::middle_25".
+
+[INFO :CP0302] leaf.sv:13 Compile class "work@leaf_13".
+
+[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
+
+[INFO :CP0302] middle.v:17 Compile class "work@middle_17".
+
+[INFO :CP0302] middle.v:24 Compile class "work@middle_25".
+
+[INFO :CP0302] builtin.sv:33 Compile class "work@process".
+
+[INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
+
+[ERROR:CP0334] middle.v:17 Colliding compilation unit name: "top1_1::middle_17",
+               middle.v:17 previous usage.
+
+[ERROR:CP0334] leaf.sv:13 Colliding compilation unit name: "top1_1::leaf_13",
+               leaf.sv:13 previous usage.
+
+[ERROR:CP0334] middle.v:24 Colliding compilation unit name: "top1_1::middle_25",
+               middle.v:24 previous usage.
+
+[INFO :EL0526] Design Elaboration...
+
+[NOTE :EL0503] mod.v:3 Top level module "work@mod_3".
+
+[NOTE :EL0503] top.v:42 Top level module "work@top_43".
+
+[NOTE :EL0503] top.v:48 Top level module "work@bottom_49".
+
+[NOTE :EL0504] Multiple top level modules in design.
+
+[WARNI:EL0500] mod.v:5 Cannot find a module definition for "work@mod_3::NO_DEF5".
+
+[NOTE :EL0508] Nb Top level modules: 3.
+
+[NOTE :EL0509] Max instance depth: 2.
+
+[NOTE :EL0510] Nb instances: 4.
+
+[NOTE :EL0511] Nb leaf instances: 3.
+
+[WARNI:EL0512] Nb undefined modules: 1.
+
+[WARNI:EL0513] Nb undefined instances: 1.
+
+[  FATAL] : 0
+[ SYNTAX] : 0
+[  ERROR] : 3
+[WARNING] : 7
+[   NOTE] : 8
 enterTop_level_rule
   File: top.v , 1
   Text: package top1_1 ; cla ...
@@ -1342,78 +400,7 @@
   Text: class middle_17 ; en ...
 enterClass_declaration
   File: top.v , 146
-  Text: class middle_17 ; en[INFO :CP0300] Compilation...
-
-[INFO :CP0301] top.v:1 Compile package "top1_1".
-
-[INFO :CP0303] top.v:48 Compile module "work@bottom_49".
-
-[INFO :CP0303] mod.v:3 Compile module "work@mod_3".
-
-[INFO :CP0303] top.v:42 Compile module "work@top_43".
-
-[INFO :CP0302] leaf.sv:13 Compile class "top1_1::leaf_13".
-
-[INFO :CP0302] leaf.sv:13 Compile class "top1_1::leaf_13".
-
-[INFO :CP0302] middle.v:17 Compile class "top1_1::middle_17".
-
-[INFO :CP0302] middle.v:17 Compile class "top1_1::middle_17".
-
-[INFO :CP0302] middle.v:24 Compile class "top1_1::middle_25".
-
-[INFO :CP0302] middle.v:24 Compile class "top1_1::middle_25".
-
-[INFO :CP0302] leaf.sv:13 Compile class "work@leaf_13".
-
-[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
-
-[INFO :CP0302] middle.v:17 Compile class "work@middle_17".
-
-[INFO :CP0302] middle.v:24 Compile class "work@middle_25".
-
-[INFO :CP0302] builtin.sv:33 Compile class "work@process".
-
-[INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
-
-[ERROR:CP0334] middle.v:17 Colliding compilation unit name: "top1_1::middle_17",
-               middle.v:17 previous usage.
-
-[ERROR:CP0334] leaf.sv:13 Colliding compilation unit name: "top1_1::leaf_13",
-               leaf.sv:13 previous usage.
-
-[ERROR:CP0334] middle.v:24 Colliding compilation unit name: "top1_1::middle_25",
-               middle.v:24 previous usage.
-
-[INFO :EL0526] Design Elaboration...
-
-[NOTE :EL0503] mod.v:3 Top level module "work@mod_3".
-
-[NOTE :EL0503] top.v:42 Top level module "work@top_43".
-
-[NOTE :EL0503] top.v:48 Top level module "work@bottom_49".
-
-[NOTE :EL0504] Multiple top level modules in design.
-
-[WARNI:EL0500] mod.v:5 Cannot find a module definition for "work@mod_3::NO_DEF5".
-
-[NOTE :EL0508] Nb Top level modules: 3.
-
-[NOTE :EL0509] Max instance depth: 2.
-
-[NOTE :EL0510] Nb instances: 4.
-
-[NOTE :EL0511] Nb leaf instances: 3.
-
-[WARNI:EL0512] Nb undefined modules: 1.
-
-[WARNI:EL0513] Nb undefined instances: 1.
-
-[  FATAL] : 0
-[  ERROR] : 3
-[WARNING] : 7
-[   NOTE] : 8
- ...
+  Text: class middle_17 ; en ...
 enterIdentifier
   File: top.v , 146
   Text: middle_17  ...
@@ -1484,9 +471,3 @@
   File: top.v , 173
   Text: endmodule  ...
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.18user 0.00system 0:00.19elapsed 97%CPU (0avgtext+0avgdata 40220maxresident)k
-0inputs+152outputs (0major+10182minor)pagefaults 0swaps
diff --git a/tests/TestMacros/TestMacros.log b/tests/TestMacros/TestMacros.log
index 63705a1..8182ca6 100644
--- a/tests/TestMacros/TestMacros.log
+++ b/tests/TestMacros/TestMacros.log
@@ -1,16 +1,12 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/TestMacros/slpp_all/surelog.log.
 
-[ERROR:PP0106] TestMacros.v:14 Syntax error: no viable alternative at input '`define\n',
+[SYNTX:PP0106] TestMacros.v:14 Syntax error: no viable alternative at input '`define\n',
 `define
        ^-- TestMacros.v:14 col:7.
 
 [ERROR:PP0111] TestMacros.v:12 Illegally redefining compiler directive "`define" as a macro name.
 
-[ERROR:PA0207] macros.inc:12 Syntax error: mismatched input 'begin' expecting <EOF>,
+[SYNTX:PA0207] macros.inc:12 Syntax error: mismatched input 'begin' expecting <EOF>,
    begin 
    ^-- ../../build/tests/TestMacros/slpp_all/work/TestMacros.v:2 col:3.
 
@@ -67,13 +63,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
-[  ERROR] : 3
+[ SYNTAX] : 2
+[  ERROR] : 1
 [WARNING] : 0
 [   NOTE] : 11
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.74user 0.01system 0:00.76elapsed 99%CPU (0avgtext+0avgdata 42696maxresident)k
-0inputs+344outputs (0major+13808minor)pagefaults 0swaps
diff --git a/tests/TimeUnit/TimeUnit.log b/tests/TimeUnit/TimeUnit.log
index 379d343..c5082ee 100644
--- a/tests/TimeUnit/TimeUnit.log
+++ b/tests/TimeUnit/TimeUnit.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/TimeUnit/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -106,13 +102,8 @@
 [WARNI:EL0513] Nb undefined instances: 1.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 8
 [WARNING] : 10
 [   NOTE] : 7
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.59user 0.02system 0:00.62elapsed 99%CPU (0avgtext+0avgdata 47876maxresident)k
-0inputs+120outputs (0major+15411minor)pagefaults 0swaps
diff --git a/tests/UnitClass/UnitClass.log b/tests/UnitClass/UnitClass.log
index 4bb578a..8e6f472 100644
--- a/tests/UnitClass/UnitClass.log
+++ b/tests/UnitClass/UnitClass.log
@@ -1,271 +1,13 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitClass/slpp_all/surelog.log.
 
 [INFO :PP0122] Preprocessing source file "builtin.sv".
 
-1 /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv 1 in
+1 /home/alain/Surelog/build/dist/Release//sv/builtin.sv 1 in
 [INFO :PP0122] Preprocessing source file "top.v".
 
 1 top.v 1 in
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.v".
 
 LIB:  work
@@ -660,13 +402,8 @@
 [ERROR:CP0317] top.v:24 Undefined type "DD".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 8
 [WARNING] : 1
 [   NOTE] : 6
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.42user 0.03system 0:00.46elapsed 98%CPU (0avgtext+0avgdata 40280maxresident)k
-0inputs+88outputs (0major+12875minor)pagefaults 0swaps
diff --git a/tests/UnitDefParam/UnitDefParam.log b/tests/UnitDefParam/UnitDefParam.log
index 5f0a513..3949c31 100644
--- a/tests/UnitDefParam/UnitDefParam.log
+++ b/tests/UnitDefParam/UnitDefParam.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitDefParam/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -16,260 +12,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.v".
 
 LIB:  work
@@ -980,13 +722,8 @@
 [WARNI:EL0513] Nb undefined instances: 52.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 17
 [   NOTE] : 8
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.71user 0.00system 0:00.72elapsed 99%CPU (0avgtext+0avgdata 40272maxresident)k
-0inputs+144outputs (0major+13133minor)pagefaults 0swaps
diff --git a/tests/UnitElab/UnitElab.log b/tests/UnitElab/UnitElab.log
index 5982e0c..e7cdb57 100644
--- a/tests/UnitElab/UnitElab.log
+++ b/tests/UnitElab/UnitElab.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitElab/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -14,260 +10,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.v".
 
 LIB:  work
@@ -8716,13 +8458,8 @@
 [NOTE :EL0523] small.v:46 Instance "work@small_top.u1.B1[9].B4.B5[9].N4".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 1
 [WARNING] : 17
 [   NOTE] : 2465
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.10user 0.02system 0:01.12elapsed 99%CPU (0avgtext+0avgdata 50416maxresident)k
-24inputs+504outputs (0major+16025minor)pagefaults 0swaps
diff --git a/tests/UnitElabBlock/UnitElabBlock.log b/tests/UnitElabBlock/UnitElabBlock.log
index a4d167e..37304c8 100644
--- a/tests/UnitElabBlock/UnitElabBlock.log
+++ b/tests/UnitElabBlock/UnitElabBlock.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitElabBlock/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -12,260 +8,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.v".
 
 LIB:  work
@@ -543,13 +285,8 @@
 [NOTE :EL0522] top.v:17 Scope "work@wave.a.bmod.keep".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 3
 [   NOTE] : 14
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.48user 0.02system 0:00.51elapsed 98%CPU (0avgtext+0avgdata 39864maxresident)k
-552inputs+80outputs (0major+12477minor)pagefaults 0swaps
diff --git a/tests/UnitElabExternNested/UnitElabExternNested.log b/tests/UnitElabExternNested/UnitElabExternNested.log
index 9c89dd5..84764f6 100644
--- a/tests/UnitElabExternNested/UnitElabExternNested.log
+++ b/tests/UnitElabExternNested/UnitElabExternNested.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitElabExternNested/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -14,260 +10,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.v".
 
 LIB:  work
@@ -520,308 +262,4 @@
 n<> u<245> t<Name_of_instance> p<248> c<244> s<247> l<35>
 n<> u<246> t<Ordered_port_connection> p<247> l<35>
 n<> u<247> t<List_of_port_connections> p<248> c<246> l<35>
-n<> u<248> t<Hierarchical_instance> p<249> c<245> l<35>
-n<> u<249> t<Module_instantiation> p<250> c<243> l<35>
-n<> u<250> t<Module_or_generate_item> p<251> c<249> l<35>
-n<> u<251> t<Non_port_module_item> p<253> c<250> s<252> l<35>
-n<> u<252> t<Endmodule> p<253> l<36>
-n<> u<253> t<Module_declaration> p<254> c<36> l<1>
-n<> u<254> t<Description> p<255> c<253> l<1>
-n<> u<255> t<Source_text> p<256> c<254> l<1>
-n<> u<256> t<Top_level_rule> l<1>
-[INFO :PA0201] Parsing source file "middle.v".
-
-LIB:  work
-FILE: middle.v
-n<> u<0> t<Null_rule> p<179> s<178> l<2>
-n<> u<1> t<Module_keyword> p<28> s<2> l<2>
-n<m> u<2> t<StringConst> p<28> s<27> l<2>
-n<a> u<3> t<StringConst> p<6> s<5> l<2>
-n<> u<4> t<Constant_bit_select> p<5> l<2>
-n<> u<5> t<Constant_select> p<6> c<4> l<2>
-n<> u<6> t<Port_reference> p<7> c<3> l<2>
-n<> u<7> t<Port_expression> p<8> c<6> l<2>
-n<> u<8> t<Port> p<27> c<7> s<14> l<2>
-n<b> u<9> t<StringConst> p<12> s<11> l<2>
-n<> u<10> t<Constant_bit_select> p<11> l<2>
-n<> u<11> t<Constant_select> p<12> c<10> l<2>
-n<> u<12> t<Port_reference> p<13> c<9> l<2>
-n<> u<13> t<Port_expression> p<14> c<12> l<2>
-n<> u<14> t<Port> p<27> c<13> s<20> l<2>
-n<c> u<15> t<StringConst> p<18> s<17> l<2>
-n<> u<16> t<Constant_bit_select> p<17> l<2>
-n<> u<17> t<Constant_select> p<18> c<16> l<2>
-n<> u<18> t<Port_reference> p<19> c<15> l<2>
-n<> u<19> t<Port_expression> p<20> c<18> l<2>
-n<> u<20> t<Port> p<27> c<19> s<26> l<2>
-n<d> u<21> t<StringConst> p<24> s<23> l<2>
-n<> u<22> t<Constant_bit_select> p<23> l<2>
-n<> u<23> t<Constant_select> p<24> c<22> l<2>
-n<> u<24> t<Port_reference> p<25> c<21> l<2>
-n<> u<25> t<Port_expression> p<26> c<24> l<2>
-n<> u<26> t<Port> p<27> c<25> l<2>
-n<> u<27> t<List_of_ports> p<28> c<8> l<2>
-n<> u<28> t<Module_nonansi_header> p<29> c<1> l<2>
-n<> u<29> t<Module_declaration> p<30> c<28> l<2>
-n<> u<30> t<Description> p<178> c<29> s<89> l<2>
-n<> u<31> t<Module_keyword> p<87> s<32> l<3>
-n<a> u<32> t<StringConst> p<87> s<61> l<3>
-n<> u<33> t<Data_type_or_implicit> p<43> s<42> l<5>
-n<size> u<34> t<StringConst> p<41> s<40> l<5>
-n<8> u<35> t<IntConst> p<36> l<5>
-n<> u<36> t<Primary_literal> p<37> c<35> l<5>
-n<> u<37> t<Constant_primary> p<38> c<36> l<5>
-n<> u<38> t<Constant_expression> p<39> c<37> l<5>
-n<> u<39> t<Constant_mintypmax_expression> p<40> c<38> l<5>
-n<> u<40> t<Constant_param_expression> p<41> c<39> l<5>
-n<> u<41> t<Param_assignment> p<42> c<34> l<5>
-n<> u<42> t<List_of_param_assignments> p<43> c<41> l<5>
-n<> u<43> t<Parameter_declaration> p<44> c<33> l<4>
-n<> u<44> t<Parameter_port_declaration> p<61> c<43> s<60> l<4>
-n<TP> u<45> t<StringConst> p<58> s<57> l<8>
-n<> u<46> t<IntVec_TypeLogic> p<57> s<56> l<9>
-n<7> u<47> t<IntConst> p<48> l<10>
-n<> u<48> t<Primary_literal> p<49> c<47> l<10>
-n<> u<49> t<Constant_primary> p<50> c<48> l<10>
-n<> u<50> t<Constant_expression> p<55> c<49> s<54> l<10>
-n<0> u<51> t<IntConst> p<52> l<10>
-n<> u<52> t<Primary_literal> p<53> c<51> l<10>
-n<> u<53> t<Constant_primary> p<54> c<52> l<10>
-n<> u<54> t<Constant_expression> p<55> c<53> l<10>
-n<> u<55> t<Constant_range> p<56> c<50> l<10>
-n<> u<56> t<Packed_dimension> p<57> c<55> l<10>
-n<> u<57> t<Data_type> p<58> c<46> l<9>
-n<> u<58> t<List_of_type_assignments> p<59> c<45> l<8>
-n<> u<59> t<Parameter_declaration> p<60> c<58> l<6>
-n<> u<60> t<Parameter_port_declaration> p<61> c<59> l<6>
-n<> u<61> t<Parameter_port_list> p<87> c<44> s<86> l<3>
-n<> u<62> t<PortDir_Inp> p<75> s<74> l<12>
-n<size> u<63> t<StringConst> p<64> l<13>
-n<> u<64> t<Primary_literal> p<65> c<63> l<13>
-n<> u<65> t<Constant_primary> p<66> c<64> l<13>
-n<> u<66> t<Constant_expression> p<71> c<65> s<70> l<13>
-n<0> u<67> t<IntConst> p<68> l<13>
-n<> u<68> t<Primary_literal> p<69> c<67> l<13>
-n<> u<69> t<Constant_primary> p<70> c<68> l<13>
-n<> u<70> t<Constant_expression> p<71> c<69> l<13>
-n<> u<71> t<Constant_range> p<72> c<66> l<13>
-n<> u<72> t<Packed_dimension> p<73> c<71> l<13>
-n<> u<73> t<Data_type_or_implicit> p<74> c<72> l<13>
-n<> u<74> t<Net_port_type> p<75> c<73> l<13>
-n<> u<75> t<Net_port_header> p<77> c<62> s<76> l<12>
-n<a> u<76> t<StringConst> p<77> l<13>
-n<> u<77> t<Ansi_port_declaration> p<86> c<75> s<85> l<12>
-n<> u<78> t<PortDir_Out> p<83> s<82> l<14>
-n<TP> u<79> t<StringConst> p<80> l<15>
-n<> u<80> t<Data_type> p<81> c<79> l<15>
-n<> u<81> t<Data_type_or_implicit> p<82> c<80> l<15>
-n<> u<82> t<Net_port_type> p<83> c<81> l<15>
-n<> u<83> t<Net_port_header> p<85> c<78> s<84> l<14>
-n<b> u<84> t<StringConst> p<85> l<15>
-n<> u<85> t<Ansi_port_declaration> p<86> c<83> l<14>
-n<> u<86> t<List_of_port_declarations> p<87> c<77> l<11>
-n<> u<87> t<Module_ansi_header> p<88> c<31> l<3>
-n<> u<88> t<Module_declaration> p<89> c<87> l<3>
-n<> u<89> t<Description> p<178> c<88> s<177> l<3>
-n<> u<90> t<Module_keyword> p<95> s<91> l<18>
-n<top> u<91> t<StringConst> p<95> s<94> l<18>
-n<> u<92> t<Port_expression> p<93> l<18>
-n<> u<93> t<Port> p<94> c<92> l<18>
-n<> u<94> t<List_of_ports> p<95> c<93> l<18>
-n<> u<95> t<Module_nonansi_header> p<176> c<90> s<117> l<18>
-n<> u<96> t<NetType_Wire> p<111> s<107> l<19>
-n<8> u<97> t<IntConst> p<98> l<20>
-n<> u<98> t<Primary_literal> p<99> c<97> l<20>
-n<> u<99> t<Constant_primary> p<100> c<98> l<20>
-n<> u<100> t<Constant_expression> p<105> c<99> s<104> l<20>
-n<0> u<101> t<IntConst> p<102> l<20>
-n<> u<102> t<Primary_literal> p<103> c<101> l<20>
-n<> u<103> t<Constant_primary> p<104> c<102> l<20>
-n<> u<104> t<Constant_expression> p<105> c<103> l<20>
-n<> u<105> t<Constant_range> p<106> c<100> l<20>
-n<> u<106> t<Packed_dimension> p<107> c<105> l<20>
-n<> u<107> t<Data_type_or_implicit> p<111> c<106> s<110> l<20>
-n<a> u<108> t<StringConst> p<109> l<20>
-n<> u<109> t<Net_decl_assignment> p<110> c<108> l<20>
-n<> u<110> t<List_of_net_decl_assignments> p<111> c<109> l<20>
-n<> u<111> t<Net_declaration> p<112> c<96> l<19>
-n<> u<112> t<Package_or_generate_item_declaration> p<113> c<111> l<19>
-n<> u<113> t<Module_or_generate_item_declaration> p<114> c<112> l<19>
-n<> u<114> t<Module_common_item> p<115> c<113> l<19>
-n<> u<115> t<Module_or_generate_item> p<116> c<114> l<19>
-n<> u<116> t<Non_port_module_item> p<117> c<115> l<19>
-n<> u<117> t<Module_item> p<176> c<116> s<140> l<19>
-n<> u<118> t<IntVec_TypeLogic> p<129> s<128> l<21>
-n<7> u<119> t<IntConst> p<120> l<22>
-n<> u<120> t<Primary_literal> p<121> c<119> l<22>
-n<> u<121> t<Constant_primary> p<122> c<120> l<22>
-n<> u<122> t<Constant_expression> p<127> c<121> s<126> l<22>
-n<0> u<123> t<IntConst> p<124> l<22>
-n<> u<124> t<Primary_literal> p<125> c<123> l<22>
-n<> u<125> t<Constant_primary> p<126> c<124> l<22>
-n<> u<126> t<Constant_expression> p<127> c<125> l<22>
-n<> u<127> t<Constant_range> p<128> c<122> l<22>
-n<> u<128> t<Packed_dimension> p<129> c<127> l<22>
-n<> u<129> t<Data_type> p<133> c<118> s<132> l<21>
-n<b> u<130> t<StringConst> p<131> l<22>
-n<> u<131> t<Variable_decl_assignment> p<132> c<130> l<22>
-n<> u<132> t<List_of_variable_decl_assignments> p<133> c<131> l<22>
-n<> u<133> t<Variable_declaration> p<134> c<129> l<21>
-n<> u<134> t<Data_declaration> p<135> c<133> l<21>
-n<> u<135> t<Package_or_generate_item_declaration> p<136> c<134> l<21>
-n<> u<136> t<Module_or_generate_item_declaration> p<137> c<135> l<21>
-n<> u<137> t<Module_common_item> p<138> c<136> l<21>
-n<> u<138> t<Module_or_generate_item> p<139> c<137> l<21>
-n<> u<139> t<Non_port_module_item> p<140> c<138> l<21>
-n<> u<140> t<Module_item> p<176> c<139> s<154> l<21>
-n<> u<141> t<NetType_Wire> p<148> s<142> l<23>
-n<> u<142> t<Data_type_or_implicit> p<148> s<147> l<24>
-n<c> u<143> t<StringConst> p<144> l<24>
-n<> u<144> t<Net_decl_assignment> p<147> c<143> s<146> l<24>
-n<d> u<145> t<StringConst> p<146> l<24>
-n<> u<146> t<Net_decl_assignment> p<147> c<145> l<24>
-n<> u<147> t<List_of_net_decl_assignments> p<148> c<144> l<24>
-n<> u<148> t<Net_declaration> p<149> c<141> l<23>
-n<> u<149> t<Package_or_generate_item_declaration> p<150> c<148> l<23>
-n<> u<150> t<Module_or_generate_item_declaration> p<151> c<149> l<23>
-n<> u<151> t<Module_common_item> p<152> c<150> l<23>
-n<> u<152> t<Module_or_generate_item> p<153> c<151> l<23>
-n<> u<153> t<Non_port_module_item> p<154> c<152> l<23>
-n<> u<154> t<Module_item> p<176> c<153> s<164> l<23>
-n<m> u<155> t<StringConst> p<161> s<160> l<26>
-n<mm> u<156> t<StringConst> p<157> l<26>
-n<> u<157> t<Name_of_instance> p<160> c<156> s<159> l<26>
-n<> u<158> t<Named_port_connection> p<159> l<26>
-n<> u<159> t<List_of_port_connections> p<160> c<158> l<26>
-n<> u<160> t<Hierarchical_instance> p<161> c<157> l<26>
-n<> u<161> t<Module_instantiation> p<162> c<155> l<26>
-n<> u<162> t<Module_or_generate_item> p<163> c<161> l<26>
-n<> u<163> t<Non_port_module_item> p<164> c<162> l<26>
-n<> u<164> t<Module_item> p<176> c<163> s<174> l<26>
-n<a> u<165> t<StringConst> p<171> s<170> l<27>
-n<aa> u<166> t<StringConst> p<167> l<27>
-n<> u<167> t<Name_of_instance> p<170> c<166> s<169> l<27>
-n<> u<168> t<Named_port_connection> p<169> l<27>
-n<> u<169> t<List_of_port_connections> p<170> c<168> l<27>
-n<> u<170> t<Hierarchical_instance> p<171> c<167> l<27>
-n<> u<171> t<Module_instantiation> p<172> c<165> l<27>
-n<> u<172> t<Module_or_generate_item> p<173> c<171> l<27>
-n<> u<173> t<Non_port_module_item> p<174> c<172> l<27>
-n<> u<174> t<Module_item> p<176> c<173> s<175> l<27>
-n<> u<175> t<Endmodule> p<176> l<28>
-n<> u<176> t<Module_declaration> p<177> c<95> l<18>
-n<> u<177> t<Description> p<178> c<176> l<18>
-n<> u<178> t<Source_text> p<179> c<30> l<2>
-n<> u<179> t<Top_level_rule> l<2>
-[WARNI:PA0205] top.v:1 No timescale set for "dff_nested".
-
-[WARNI:PA0205] top.v:9 No timescale set for "ff1".
-
-[WARNI:PA0205] top.v:18 No timescale set for "ff2".
-
-[WARNI:PA0205] top.v:28 No timescale set for "ff3".
-
-[WARNI:PA0205] middle.v:2 No timescale set for "m".
-
-[WARNI:PA0205] middle.v:3 No timescale set for "a".
-
-[WARNI:PA0205] middle.v:18 No timescale set for "top".
-
-[INFO :CP0300] Compilation...
-
-[INFO :CP0303] middle.v:3 Compile module "work@a".
-
-[INFO :CP0303] top.v:1 Compile module "work@dff_nested".
-
-[INFO :CP0303] top.v:9 Compile module "work@dff_nested::ff1".
-
-[INFO :CP0303] top.v:18 Compile module "work@dff_nested::ff2".
-
-[INFO :CP0303] top.v:28 Compile module "work@dff_nested::ff3".
-
-[INFO :CP0303] middle.v:2 Compile module "work@m".
-
-[INFO :CP0303] middle.v:18 Compile module "work@top".
-
-[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
-
-[INFO :CP0302] builtin.sv:33 Compile class "work@process".
-
-[INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
-
-[NOTE :CP0309] middle.v:15 Implicit port type (wire) for "b".
-
-[NOTE :CP0309] top.v:6 Implicit port type (wire) for "q",
-there are 1 more instances of this message.
-
-[WARNI:CP0310] middle.v:2 Port "a" definition missing its direction (input, output, inout),
-there are 3 more instances of this message.
-
-[INFO :EL0526] Design Elaboration...
-
-Instance tree:
-[TOP] work@dff_nested work@dff_nested
-[TOP] work@top work@top
-[MOD] work@dff_nested::ff1 work@dff_nested.i1
-[MOD] work@dff_nested::ff2 work@dff_nested.i2
-[MOD] work@dff_nested::ff3 work@dff_nested.i3
-[MOD] work@m work@top.mm
-[MOD] work@a work@top.aa
-[GAT] work@nand work@dff_nested.i1.g1b
-[GAT] work@nand work@dff_nested.i1.g1a
-[GAT] work@nand work@dff_nested.i2.g2b
-[GAT] work@nand work@dff_nested.i2.g2a
-[GAT] work@nand work@dff_nested.i3.g3a
-[GAT] work@nand work@dff_nested.i3.g3b
-
-[NOTE :EL0503] top.v:1 Top level module "work@dff_nested".
-
-[NOTE :EL0503] middle.v:18 Top level module "work@top".
-
-[NOTE :EL0504] Multiple top level modules in design.
-
-[NOTE :EL0508] Nb Top level modules: 2.
-
-[NOTE :EL0509] Max instance depth: 3.
-
-[NOTE :EL0510] Nb instances: 13.
-
-[NOTE :EL0511] Nb leaf instances: 8.
-
-[NOTE :EL0523] top.v:1 Instance "work@dff_nested".
-
-[NOTE :EL0523] middle.v:18 Instance "work@top".
-
-[NOTE :EL0523] top.v:16 Instance "work@dff_nested.i1".
-
-[NOTE :EL0523] top.v:27 Instance "work@dff_nested.i2".
-
-[NOTE :EL0523] top.v:35 Instance "work@dff_nested.i3".
-
-[NOTE :EL0523] middle.v:26 Instance "work@top.mm".
-
-[NOTE :EL0523] middle.v:27 Instance "work@top.aa".
-
-[NOTE :EL0523] top.v:11 Instance "work@dff_nested.i1.g1b".
-
-[NOTE :EL0523] top.v:13 Instance "work@dff_nested.i1.g1a".
-
-[NOTE :EL0523] top.v:22 Instance "work@dff_nested.i2.g2b".
-
-[NOTE :EL0523] top.v:24 Instance "work@dff_nested.i2.g2a".
-
-[NOTE :EL0523] top.v:30 Instance "work@dff_nested.i3.g3a".
-
-[NOTE :EL0523] top.v:32 Instance "work@dff_nested.i3.g3b".
-
-[  FATAL] : 0
-[  ERROR] : 0
-[WARNING] : 8
-[   NOTE] : 22
-
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.70user 0.03system 0:00.74elapsed 99%CPU (0avgtext+0avgdata 39952maxresident)k
-24inputs+120outputs (0major+12364minor)pagefaults 0swaps
+n<> u<248> 
diff --git a/tests/UnitEnum/UnitEnum.log b/tests/UnitEnum/UnitEnum.log
index 411eb43..7364358 100644
--- a/tests/UnitEnum/UnitEnum.log
+++ b/tests/UnitEnum/UnitEnum.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitEnum/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -12,260 +8,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.v".
 
 LIB:  work
@@ -414,13 +156,8 @@
 [NOTE :EL0511] Nb leaf instances: 1.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 1
 [WARNING] : 2
 [   NOTE] : 5
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.14user 0.00system 0:00.15elapsed 96%CPU (0avgtext+0avgdata 40052maxresident)k
-0inputs+24outputs (0major+9980minor)pagefaults 0swaps
diff --git a/tests/UnitForLoop/UnitForLoop.log b/tests/UnitForLoop/UnitForLoop.log
index a71bade..0f3368c 100644
--- a/tests/UnitForLoop/UnitForLoop.log
+++ b/tests/UnitForLoop/UnitForLoop.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitForLoop/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -318,13 +314,8 @@
                top.v:1 type definition.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 4
 [WARNING] : 0
 [   NOTE] : 4
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.32user 0.01system 0:00.34elapsed 98%CPU (0avgtext+0avgdata 40144maxresident)k
-0inputs+16outputs (0major+13235minor)pagefaults 0swaps
diff --git a/tests/UnitForeach/UnitForeach.log b/tests/UnitForeach/UnitForeach.log
index 0f3cc1b..b237b6d 100644
--- a/tests/UnitForeach/UnitForeach.log
+++ b/tests/UnitForeach/UnitForeach.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitForeach/slpp_all/surelog.log.
 
 LIB:  work
@@ -342,13 +338,8 @@
                top.v:7 type definition.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 7
 [WARNING] : 0
 [   NOTE] : 4
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.70user 0.01system 0:00.73elapsed 99%CPU (0avgtext+0avgdata 42712maxresident)k
-0inputs+64outputs (0major+14212minor)pagefaults 0swaps
diff --git a/tests/UnitLibrary/UnitLibrary.log b/tests/UnitLibrary/UnitLibrary.log
index b76c723..43c093f 100644
--- a/tests/UnitLibrary/UnitLibrary.log
+++ b/tests/UnitLibrary/UnitLibrary.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitLibrary/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -21,7 +17,7 @@
      /home/alain/Surelog/tests/UnitLibrary/libwconfig/libw.map
      /home/alain/Surelog/tests/UnitLibrary/lib.map
      /home/alain/Surelog/tests/UnitLibrary/configs.cfg
-     /home/alain/Surelog/dist/surelog/sv/builtin.sv
+     /home/alain/Surelog/build/dist/Release/sv/builtin.sv
 
 LIB: rtlLib
      /home/alain/Surelog/tests/UnitLibrary/top.v
@@ -280,13 +276,8 @@
 [NOTE :EL0523] /home/alain/Surelog/tests/UnitLibrary/lib2/bot.sv:3 Instance "rtlLib@top.a1.f1.bot2.sub2".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 2
 [WARNING] : 16
 [   NOTE] : 31
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.20user 0.02system 0:00.22elapsed 98%CPU (0avgtext+0avgdata 40252maxresident)k
-0inputs+472outputs (0major+10598minor)pagefaults 0swaps
diff --git a/tests/UnitPackage/UnitPackage.log b/tests/UnitPackage/UnitPackage.log
index 1055796..acdc662 100644
--- a/tests/UnitPackage/UnitPackage.log
+++ b/tests/UnitPackage/UnitPackage.log
@@ -1,13 +1,9 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitPackage/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
 LIB: work
-     /home/alain/Surelog/dist/surelog/sv/builtin.sv
+     /home/alain/Surelog/build/dist/Release/sv/builtin.sv
      /home/alain/Surelog/tests/UnitPackage/definesPkg.pkg
      /home/alain/Surelog/tests/UnitPackage/msgPkg.pkg
      /home/alain/Surelog/tests/UnitPackage/simple_pkg.sv
@@ -23,260 +19,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "definesPkg.pkg".
 
 LIB:  work
@@ -1004,13 +746,8 @@
 [NOTE :EL0523] simple_pkg.sv:16 Instance "work@simple_package.inst.u1".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 6
 [   NOTE] : 9
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.38user 0.02system 0:01.42elapsed 99%CPU (0avgtext+0avgdata 57240maxresident)k
-0inputs+160outputs (0major+17847minor)pagefaults 0swaps
diff --git a/tests/UnitPython/UnitPython.log b/tests/UnitPython/UnitPython.log
index cadd69f..45c8754 100644
--- a/tests/UnitPython/UnitPython.log
+++ b/tests/UnitPython/UnitPython.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitPython/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -12,260 +8,6 @@
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
-LIB:  work
-FILE: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv
-n<> u<0> t<Null_rule> p<251> s<250> l<4>
-n<mailbox> u<1> t<StringConst> p<103> s<18> l<4>
-n<> u<2> t<Function_data_type_or_implicit> p<15> s<3> l<6>
-n<new> u<3> t<StringConst> p<15> s<13> l<6>
-n<> u<4> t<IntegerAtomType_Int> p<5> l<6>
-n<> u<5> t<Data_type> p<6> c<4> l<6>
-n<> u<6> t<Data_type_or_implicit> p<12> c<5> s<7> l<6>
-n<bound> u<7> t<StringConst> p<12> s<11> l<6>
-n<0> u<8> t<IntConst> p<9> l<6>
-n<> u<9> t<Primary_literal> p<10> c<8> l<6>
-n<> u<10> t<Primary> p<11> c<9> l<6>
-n<> u<11> t<Expression> p<12> c<10> l<6>
-n<> u<12> t<Tf_port_item> p<13> c<6> l<6>
-n<> u<13> t<Tf_port_list> p<15> c<12> s<14> l<6>
-n<> u<14> t<Endfunction> p<15> l<7>
-n<> u<15> t<Function_body_declaration> p<16> c<2> l<6>
-n<> u<16> t<Function_declaration> p<17> c<15> l<6>
-n<> u<17> t<Class_method> p<18> c<16> l<6>
-n<> u<18> t<Class_item> p<103> c<17> s<28> l<6>
-n<> u<19> t<IntegerAtomType_Int> p<20> l<9>
-n<> u<20> t<Data_type> p<21> c<19> l<9>
-n<> u<21> t<Function_data_type> p<22> c<20> l<9>
-n<> u<22> t<Function_data_type_or_implicit> p<25> c<21> s<23> l<9>
-n<num> u<23> t<StringConst> p<25> s<24> l<9>
-n<> u<24> t<Endfunction> p<25> l<10>
-n<> u<25> t<Function_body_declaration> p<26> c<22> l<9>
-n<> u<26> t<Function_declaration> p<27> c<25> l<9>
-n<> u<27> t<Class_method> p<28> c<26> l<9>
-n<> u<28> t<Class_item> p<103> c<27> s<38> l<9>
-n<put> u<29> t<StringConst> p<35> s<33> l<12>
-n<> u<30> t<Data_type_or_implicit> p<32> s<31> l<12>
-n<message> u<31> t<StringConst> p<32> l<12>
-n<> u<32> t<Tf_port_item> p<33> c<30> l<12>
-n<> u<33> t<Tf_port_list> p<35> c<32> s<34> l<12>
-n<> u<34> t<Endtask> p<35> l<13>
-n<> u<35> t<Task_body_declaration> p<36> c<29> l<12>
-n<> u<36> t<Task_declaration> p<37> c<35> l<12>
-n<> u<37> t<Class_method> p<38> c<36> l<12>
-n<> u<38> t<Class_item> p<103> c<37> s<49> l<12>
-n<> u<39> t<Function_data_type_or_implicit> p<46> s<40> l<15>
-n<try_put> u<40> t<StringConst> p<46> s<44> l<15>
-n<> u<41> t<Data_type_or_implicit> p<43> s<42> l<15>
-n<message> u<42> t<StringConst> p<43> l<15>
-n<> u<43> t<Tf_port_item> p<44> c<41> l<15>
-n<> u<44> t<Tf_port_list> p<46> c<43> s<45> l<15>
-n<> u<45> t<Endfunction> p<46> l<16>
-n<> u<46> t<Function_body_declaration> p<47> c<39> l<15>
-n<> u<47> t<Function_declaration> p<48> c<46> l<15>
-n<> u<48> t<Class_method> p<49> c<47> l<15>
-n<> u<49> t<Class_item> p<103> c<48> s<60> l<15>
-n<get> u<50> t<StringConst> p<57> s<55> l<18>
-n<> u<51> t<TfPortDir_Ref> p<54> s<52> l<18>
-n<> u<52> t<Data_type_or_implicit> p<54> s<53> l<18>
-n<message> u<53> t<StringConst> p<54> l<18>
-n<> u<54> t<Tf_port_item> p<55> c<51> l<18>
-n<> u<55> t<Tf_port_list> p<57> c<54> s<56> l<18>
-n<> u<56> t<Endtask> p<57> l<19>
-n<> u<57> t<Task_body_declaration> p<58> c<50> l<18>
-n<> u<58> t<Task_declaration> p<59> c<57> l<18>
-n<> u<59> t<Class_method> p<60> c<58> l<18>
-n<> u<60> t<Class_item> p<103> c<59> s<75> l<18>
-n<> u<61> t<IntegerAtomType_Int> p<62> l<21>
-n<> u<62> t<Data_type> p<63> c<61> l<21>
-n<> u<63> t<Function_data_type> p<64> c<62> l<21>
-n<> u<64> t<Function_data_type_or_implicit> p<72> c<63> s<65> l<21>
-n<try_get> u<65> t<StringConst> p<72> s<70> l<21>
-n<> u<66> t<TfPortDir_Ref> p<69> s<67> l<21>
-n<> u<67> t<Data_type_or_implicit> p<69> s<68> l<21>
-n<message> u<68> t<StringConst> p<69> l<21>
-n<> u<69> t<Tf_port_item> p<70> c<66> l<21>
-n<> u<70> t<Tf_port_list> p<72> c<69> s<71> l<21>
-n<> u<71> t<Endfunction> p<72> l<22>
-n<> u<72> t<Function_body_declaration> p<73> c<64> l<21>
-n<> u<73> t<Function_declaration> p<74> c<72> l<21>
-n<> u<74> t<Class_method> p<75> c<73> l<21>
-n<> u<75> t<Class_item> p<103> c<74> s<86> l<21>
-n<peek> u<76> t<StringConst> p<83> s<81> l<24>
-n<> u<77> t<TfPortDir_Ref> p<80> s<78> l<24>
-n<> u<78> t<Data_type_or_implicit> p<80> s<79> l<24>
-n<message> u<79> t<StringConst> p<80> l<24>
-n<> u<80> t<Tf_port_item> p<81> c<77> l<24>
-n<> u<81> t<Tf_port_list> p<83> c<80> s<82> l<24>
-n<> u<82> t<Endtask> p<83> l<25>
-n<> u<83> t<Task_body_declaration> p<84> c<76> l<24>
-n<> u<84> t<Task_declaration> p<85> c<83> l<24>
-n<> u<85> t<Class_method> p<86> c<84> l<24>
-n<> u<86> t<Class_item> p<103> c<85> s<101> l<24>
-n<> u<87> t<IntegerAtomType_Int> p<88> l<27>
-n<> u<88> t<Data_type> p<89> c<87> l<27>
-n<> u<89> t<Function_data_type> p<90> c<88> l<27>
-n<> u<90> t<Function_data_type_or_implicit> p<98> c<89> s<91> l<27>
-n<try_peek> u<91> t<StringConst> p<98> s<96> l<27>
-n<> u<92> t<TfPortDir_Ref> p<95> s<93> l<27>
-n<> u<93> t<Data_type_or_implicit> p<95> s<94> l<27>
-n<message> u<94> t<StringConst> p<95> l<27>
-n<> u<95> t<Tf_port_item> p<96> c<92> l<27>
-n<> u<96> t<Tf_port_list> p<98> c<95> s<97> l<27>
-n<> u<97> t<Endfunction> p<98> l<28>
-n<> u<98> t<Function_body_declaration> p<99> c<90> l<27>
-n<> u<99> t<Function_declaration> p<100> c<98> l<27>
-n<> u<100> t<Class_method> p<101> c<99> l<27>
-n<> u<101> t<Class_item> p<103> c<100> s<102> l<27>
-n<> u<102> t<Endclass> p<103> l<30>
-n<> u<103> t<Class_declaration> p<104> c<1> l<4>
-n<> u<104> t<Package_or_generate_item_declaration> p<105> c<103> l<4>
-n<> u<105> t<Package_item> p<106> c<104> l<4>
-n<> u<106> t<Description> p<250> c<105> s<174> l<4>
-n<process> u<107> t<StringConst> p<171> s<123> l<33>
-n<FINISHED> u<108> t<StringConst> p<109> l<35>
-n<> u<109> t<Enum_name_declaration> p<118> c<108> s<111> l<35>
-n<RUNNING> u<110> t<StringConst> p<111> l<35>
-n<> u<111> t<Enum_name_declaration> p<118> c<110> s<113> l<35>
-n<WAITING> u<112> t<StringConst> p<113> l<35>
-n<> u<113> t<Enum_name_declaration> p<118> c<112> s<115> l<35>
-n<SUSPENDED> u<114> t<StringConst> p<115> l<35>
-n<> u<115> t<Enum_name_declaration> p<118> c<114> s<117> l<35>
-n<KILLED> u<116> t<StringConst> p<117> l<35>
-n<> u<117> t<Enum_name_declaration> p<118> c<116> l<35>
-n<> u<118> t<Data_type> p<120> c<109> s<119> l<35>
-n<state> u<119> t<StringConst> p<120> l<35>
-n<> u<120> t<Type_declaration> p<121> c<118> l<35>
-n<> u<121> t<Data_declaration> p<122> c<120> l<35>
-n<> u<122> t<Class_property> p<123> c<121> l<35>
-n<> u<123> t<Class_item> p<171> c<122> s<135> l<35>
-n<> u<124> t<ClassItemQualifier_Static> p<125> l<37>
-n<> u<125> t<MethodQualifier_ClassItem> p<134> c<124> s<133> l<37>
-n<process> u<126> t<StringConst> p<127> l<37>
-n<> u<127> t<Data_type> p<128> c<126> l<37>
-n<> u<128> t<Function_data_type> p<129> c<127> l<37>
-n<> u<129> t<Function_data_type_or_implicit> p<132> c<128> s<130> l<37>
-n<self> u<130> t<StringConst> p<132> s<131> l<37>
-n<> u<131> t<Endfunction> p<132> l<38>
-n<> u<132> t<Function_body_declaration> p<133> c<129> l<37>
-n<> u<133> t<Function_declaration> p<134> c<132> l<37>
-n<> u<134> t<Class_method> p<135> c<125> l<37>
-n<> u<135> t<Class_item> p<171> c<134> s<145> l<37>
-n<state> u<136> t<StringConst> p<137> l<40>
-n<> u<137> t<Data_type> p<138> c<136> l<40>
-n<> u<138> t<Function_data_type> p<139> c<137> l<40>
-n<> u<139> t<Function_data_type_or_implicit> p<142> c<138> s<140> l<40>
-n<status> u<140> t<StringConst> p<142> s<141> l<40>
-n<> u<141> t<Endfunction> p<142> l<41>
-n<> u<142> t<Function_body_declaration> p<143> c<139> l<40>
-n<> u<143> t<Function_declaration> p<144> c<142> l<40>
-n<> u<144> t<Class_method> p<145> c<143> l<40>
-n<> u<145> t<Class_item> p<171> c<144> s<151> l<40>
-n<kill> u<146> t<StringConst> p<148> s<147> l<43>
-n<> u<147> t<Endtask> p<148> l<44>
-n<> u<148> t<Task_body_declaration> p<149> c<146> l<43>
-n<> u<149> t<Task_declaration> p<150> c<148> l<43>
-n<> u<150> t<Class_method> p<151> c<149> l<43>
-n<> u<151> t<Class_item> p<171> c<150> s<157> l<43>
-n<await> u<152> t<StringConst> p<154> s<153> l<46>
-n<> u<153> t<Endtask> p<154> l<47>
-n<> u<154> t<Task_body_declaration> p<155> c<152> l<46>
-n<> u<155> t<Task_declaration> p<156> c<154> l<46>
-n<> u<156> t<Class_method> p<157> c<155> l<46>
-n<> u<157> t<Class_item> p<171> c<156> s<163> l<46>
-n<suspend> u<158> t<StringConst> p<160> s<159> l<49>
-n<> u<159> t<Endtask> p<160> l<50>
-n<> u<160> t<Task_body_declaration> p<161> c<158> l<49>
-n<> u<161> t<Task_declaration> p<162> c<160> l<49>
-n<> u<162> t<Class_method> p<163> c<161> l<49>
-n<> u<163> t<Class_item> p<171> c<162> s<169> l<49>
-n<resume> u<164> t<StringConst> p<166> s<165> l<52>
-n<> u<165> t<Endtask> p<166> l<53>
-n<> u<166> t<Task_body_declaration> p<167> c<164> l<52>
-n<> u<167> t<Task_declaration> p<168> c<166> l<52>
-n<> u<168> t<Class_method> p<169> c<167> l<52>
-n<> u<169> t<Class_item> p<171> c<168> s<170> l<52>
-n<> u<170> t<Endclass> p<171> l<55>
-n<> u<171> t<Class_declaration> p<172> c<107> l<33>
-n<> u<172> t<Package_or_generate_item_declaration> p<173> c<171> l<33>
-n<> u<173> t<Package_item> p<174> c<172> l<33>
-n<> u<174> t<Description> p<250> c<173> s<249> l<33>
-n<semaphore> u<175> t<StringConst> p<246> s<192> l<58>
-n<> u<176> t<Function_data_type_or_implicit> p<189> s<177> l<60>
-n<new> u<177> t<StringConst> p<189> s<187> l<60>
-n<> u<178> t<IntegerAtomType_Int> p<179> l<60>
-n<> u<179> t<Data_type> p<180> c<178> l<60>
-n<> u<180> t<Data_type_or_implicit> p<186> c<179> s<181> l<60>
-n<keyCount> u<181> t<StringConst> p<186> s<185> l<60>
-n<0> u<182> t<IntConst> p<183> l<60>
-n<> u<183> t<Primary_literal> p<184> c<182> l<60>
-n<> u<184> t<Primary> p<185> c<183> l<60>
-n<> u<185> t<Expression> p<186> c<184> l<60>
-n<> u<186> t<Tf_port_item> p<187> c<180> l<60>
-n<> u<187> t<Tf_port_list> p<189> c<186> s<188> l<60>
-n<> u<188> t<Endfunction> p<189> l<61>
-n<> u<189> t<Function_body_declaration> p<190> c<176> l<60>
-n<> u<190> t<Function_declaration> p<191> c<189> l<60>
-n<> u<191> t<Class_method> p<192> c<190> l<60>
-n<> u<192> t<Class_item> p<246> c<191> s<208> l<60>
-n<put> u<193> t<StringConst> p<205> s<203> l<63>
-n<> u<194> t<IntegerAtomType_Int> p<195> l<63>
-n<> u<195> t<Data_type> p<196> c<194> l<63>
-n<> u<196> t<Data_type_or_implicit> p<202> c<195> s<197> l<63>
-n<keyCount> u<197> t<StringConst> p<202> s<201> l<63>
-n<1> u<198> t<IntConst> p<199> l<63>
-n<> u<199> t<Primary_literal> p<200> c<198> l<63>
-n<> u<200> t<Primary> p<201> c<199> l<63>
-n<> u<201> t<Expression> p<202> c<200> l<63>
-n<> u<202> t<Tf_port_item> p<203> c<196> l<63>
-n<> u<203> t<Tf_port_list> p<205> c<202> s<204> l<63>
-n<> u<204> t<Endtask> p<205> l<64>
-n<> u<205> t<Task_body_declaration> p<206> c<193> l<63>
-n<> u<206> t<Task_declaration> p<207> c<205> l<63>
-n<> u<207> t<Class_method> p<208> c<206> l<63>
-n<> u<208> t<Class_item> p<246> c<207> s<224> l<63>
-n<get> u<209> t<StringConst> p<221> s<219> l<66>
-n<> u<210> t<IntegerAtomType_Int> p<211> l<66>
-n<> u<211> t<Data_type> p<212> c<210> l<66>
-n<> u<212> t<Data_type_or_implicit> p<218> c<211> s<213> l<66>
-n<keyCount> u<213> t<StringConst> p<218> s<217> l<66>
-n<1> u<214> t<IntConst> p<215> l<66>
-n<> u<215> t<Primary_literal> p<216> c<214> l<66>
-n<> u<216> t<Primary> p<217> c<215> l<66>
-n<> u<217> t<Expression> p<218> c<216> l<66>
-n<> u<218> t<Tf_port_item> p<219> c<212> l<66>
-n<> u<219> t<Tf_port_list> p<221> c<218> s<220> l<66>
-n<> u<220> t<Endtask> p<221> l<67>
-n<> u<221> t<Task_body_declaration> p<222> c<209> l<66>
-n<> u<222> t<Task_declaration> p<223> c<221> l<66>
-n<> u<223> t<Class_method> p<224> c<222> l<66>
-n<> u<224> t<Class_item> p<246> c<223> s<244> l<66>
-n<> u<225> t<IntegerAtomType_Int> p<226> l<69>
-n<> u<226> t<Data_type> p<227> c<225> l<69>
-n<> u<227> t<Function_data_type> p<228> c<226> l<69>
-n<> u<228> t<Function_data_type_or_implicit> p<241> c<227> s<229> l<69>
-n<try_get> u<229> t<StringConst> p<241> s<239> l<69>
-n<> u<230> t<IntegerAtomType_Int> p<231> l<69>
-n<> u<231> t<Data_type> p<232> c<230> l<69>
-n<> u<232> t<Data_type_or_implicit> p<238> c<231> s<233> l<69>
-n<keyCount> u<233> t<StringConst> p<238> s<237> l<69>
-n<1> u<234> t<IntConst> p<235> l<69>
-n<> u<235> t<Primary_literal> p<236> c<234> l<69>
-n<> u<236> t<Primary> p<237> c<235> l<69>
-n<> u<237> t<Expression> p<238> c<236> l<69>
-n<> u<238> t<Tf_port_item> p<239> c<232> l<69>
-n<> u<239> t<Tf_port_list> p<241> c<238> s<240> l<69>
-n<> u<240> t<Endfunction> p<241> l<70>
-n<> u<241> t<Function_body_declaration> p<242> c<228> l<69>
-n<> u<242> t<Function_declaration> p<243> c<241> l<69>
-n<> u<243> t<Class_method> p<244> c<242> l<69>
-n<> u<244> t<Class_item> p<246> c<243> s<245> l<69>
-n<> u<245> t<Endclass> p<246> l<72>
-n<> u<246> t<Class_declaration> p<247> c<175> l<58>
-n<> u<247> t<Package_or_generate_item_declaration> p<248> c<246> l<58>
-n<> u<248> t<Package_item> p<249> c<247> l<58>
-n<> u<249> t<Description> p<250> c<248> l<58>
-n<> u<250> t<Source_text> p<251> c<106> l<4>
-n<> u<251> t<Top_level_rule> l<4>
 [INFO :PA0201] Parsing source file "top.v".
 
 LIB:  work
@@ -406,771 +148,395 @@
 
 [INFO :PY0400] Processing source file "builtin.sv".
 
+[INFO :PY0400] Processing source file "top.v".
+
 enterTop_level_rule
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
+  File: top.v , 2
+  Text: package pkg ; class  ...
 enterNull_rule
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
+  File: top.v , 2
   Text:  ...
 enterSource_text
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
+  File: top.v , 2
+  Text: package pkg ; class  ...
 enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
+  File: top.v , 2
+  Text: package pkg ; class  ...
+enterPackage_declaration
+  File: top.v , 2
+  Text: package pkg ; class  ...
+enterIdentifier
+  File: top.v , 2
+  Text: pkg  ...
 enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
+  File: top.v , 3
+  Text: class Configuration1 ...
 enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
+  File: top.v , 3
+  Text: class Configuration1 ...
 enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
+  File: top.v , 3
+  Text: class Configuration1 ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: mailbox  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: new ( int bound = 0  ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: new  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int bound = 0  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int bound = 0  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: bound  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 7
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int num ( ) ; endfun ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: num  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 10
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: put ( message ) ; en ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 13
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: try_put ( message )  ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: try_put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 16
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: get ( ref message )  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 19
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int try_get ( ref me ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: try_get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 22
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: peek ( ref message ) ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: peek  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 25
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int try_peek ( ref m ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: try_peek  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 28
-  Text: endfunction  ...
+  File: top.v , 3
+  Text: Configuration1  ...
 enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 30
+  File: top.v , 4
   Text: endclass  ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
 enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
+  File: top.v , 6
+  Text: typedef enum { IDLE  ...
 enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: process  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterClass_property
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
+  File: top.v , 6
+  Text: typedef enum { IDLE  ...
 enterData_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
+  File: top.v , 6
+  Text: typedef enum { IDLE  ...
 enterType_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
+  File: top.v , 6
+  Text: typedef enum { IDLE  ...
 enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: enum { FINISHED , RU ...
+  File: top.v , 6
+  Text: enum { IDLE , WRITE  ...
 enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: FINISHED  ...
+  File: top.v , 6
+  Text: IDLE  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: FINISHED  ...
+  File: top.v , 6
+  Text: IDLE  ...
 enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: RUNNING  ...
+  File: top.v , 6
+  Text: WRITE  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: RUNNING  ...
+  File: top.v , 6
+  Text: WRITE  ...
 enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: WAITING  ...
+  File: top.v , 6
+  Text: READ  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: WAITING  ...
+  File: top.v , 6
+  Text: READ  ...
 enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: SUSPENDED  ...
+  File: top.v , 6
+  Text: DONE  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: SUSPENDED  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: KILLED  ...
+  File: top.v , 6
+  Text: DONE  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: KILLED  ...
+  File: top.v , 6
+  Text: fsm_t_pkg  ...
+enterEndpackage
+  File: top.v , 8
+  Text: endpackage  ...
+enterDescription
+  File: top.v , 10
+  Text: class Configuration2 ...
+enterPackage_item
+  File: top.v , 10
+  Text: class Configuration2 ...
+enterPackage_or_generate_item_declaration
+  File: top.v , 10
+  Text: class Configuration2 ...
+enterClass_declaration
+  File: top.v , 10
+  Text: class Configuration2 ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: state  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static function proc ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static function proc ...
-enterMethodQualifier_ClassItem
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static  ...
-enterClassItemQualifier_Static
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static  ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: function process sel ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process self ( ) ; e ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: self  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 38
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state status ( ) ; e ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: status  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 41
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: kill ( ) ; endtask  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: kill  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 44
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: await ( ) ; endtask  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: await  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 47
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: suspend ( ) ; endtas ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: suspend  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 50
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: resume ( ) ; endtask ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: resume  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 53
-  Text: endtask  ...
+  File: top.v , 10
+  Text: Configuration2  ...
 enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 55
+  File: top.v , 11
   Text: endclass  ...
 enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
+  File: top.v , 13
+  Text: module bottom ( ) ;  ...
+enterModule_declaration
+  File: top.v , 13
+  Text: module bottom ( ) ;  ...
+enterModule_nonansi_header
+  File: top.v , 13
+  Text: module bottom ( ) ;  ...
+enterModule_keyword
+  File: top.v , 13
+  Text: module  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: semaphore  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: new ( int keyCount = ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
+  File: top.v , 13
+  Text: bottom  ...
+enterList_of_ports
+  File: top.v , 13
+  Text: ( )  ...
+enterPort
+  File: top.v , 13
   Text:  ...
+enterPort_expression
+  File: top.v , 13
+  Text:  ...
+enterEndmodule
+  File: top.v , 14
+  Text: endmodule  ...
+enterDescription
+  File: top.v , 16
+  Text: module top ( ) ; imp ...
+enterModule_declaration
+  File: top.v , 16
+  Text: module top ( ) ; imp ...
+enterModule_nonansi_header
+  File: top.v , 16
+  Text: module top ( ) ;  ...
+enterModule_keyword
+  File: top.v , 16
+  Text: module  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: new  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int keyCount = 0  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int keyCount = 0  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
+  File: top.v , 16
+  Text: top  ...
+enterList_of_ports
+  File: top.v , 16
+  Text: ( )  ...
+enterPort
+  File: top.v , 16
+  Text:  ...
+enterPort_expression
+  File: top.v , 16
+  Text:  ...
+enterModule_item
+  File: top.v , 17
+  Text: import pkg :: * ;  ...
+enterNon_port_module_item
+  File: top.v , 17
+  Text: import pkg :: * ;  ...
+enterModule_or_generate_item
+  File: top.v , 17
+  Text: import pkg :: * ;  ...
+enterModule_common_item
+  File: top.v , 17
+  Text: import pkg :: * ;  ...
+enterModule_or_generate_item_declaration
+  File: top.v , 17
+  Text: import pkg :: * ;  ...
+enterPackage_or_generate_item_declaration
+  File: top.v , 17
+  Text: import pkg :: * ;  ...
+enterData_declaration
+  File: top.v , 17
+  Text: import pkg :: * ;  ...
+enterPackage_import_declaration
+  File: top.v , 17
+  Text: import pkg :: * ;  ...
+enterPackage_import_item
+  File: top.v , 17
+  Text: pkg :: *  ...
+enterIdentifier
+  File: top.v , 17
+  Text: pkg  ...
+enterModule_item
+  File: top.v , 18
+  Text: typedef enum { IDLE  ...
+enterNon_port_module_item
+  File: top.v , 18
+  Text: typedef enum { IDLE  ...
+enterModule_or_generate_item
+  File: top.v , 18
+  Text: typedef enum { IDLE  ...
+enterModule_common_item
+  File: top.v , 18
+  Text: typedef enum { IDLE  ...
+enterModule_or_generate_item_declaration
+  File: top.v , 18
+  Text: typedef enum { IDLE  ...
+enterPackage_or_generate_item_declaration
+  File: top.v , 18
+  Text: typedef enum { IDLE  ...
+enterData_declaration
+  File: top.v , 18
+  Text: typedef enum { IDLE  ...
+enterType_declaration
+  File: top.v , 18
+  Text: typedef enum { IDLE  ...
 enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
+  File: top.v , 18
+  Text: enum { IDLE , WRITE  ...
+enterEnum_name_declaration
+  File: top.v , 18
+  Text: IDLE  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 61
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: put ( int keyCount = ...
+  File: top.v , 18
+  Text: IDLE  ...
+enterEnum_name_declaration
+  File: top.v , 18
+  Text: WRITE  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
+  File: top.v , 18
+  Text: WRITE  ...
+enterEnum_name_declaration
+  File: top.v , 18
+  Text: READ  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: 1  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 64
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: get ( int keyCount = ...[  FATAL] : 0
+  File: top.v , 18
+  Text: READ  ...
+enterEnum_name_declaration
+  File: top.v , 18
+  Text: DONE  ...
+enterIdentifier
+  File: top.v , 18
+  Text: DONE  ...
+enterIdentifier
+  File: top.v , 18
+  Text: fsm_t  ...
+enterModule_item
+  File: top.v , 20
+  Text: fsm_t state ;  ...
+enterPort_declaration
+  File: top.v , 20
+  Text: fsm_t state  ...
+enterInterface_port_declaration
+  File: top.v , 20
+  Text: fsm_t state  ...
+enterInterface_identifier
+  File: top.v , 20
+  Text: fsm_t  ...
+enterIdentifier
+  File: top.v , 20
+  Text: fsm_t  ...
+enterList_of_interface_identifiers
+  File: top.v , 20
+  Text: state  ...
+enterInterface_identifier
+  File: top.v , 20
+  Text: state  ...
+enterIdentifier
+  File: top.v , 20
+  Text: state  ...
+enterModule_item
+  File: top.v , 21
+  Text: fsm_t_pkg state2 ;  ...
+enterPort_declaration
+  File: top.v , 21
+  Text: fsm_t_pkg state2  ...
+enterInterface_port_declaration
+  File: top.v , 21
+  Text: fsm_t_pkg state2  ...
+enterInterface_identifier
+  File: top.v , 21
+  Text: fsm_t_pkg  ...
+enterIdentifier
+  File: top.v , 21
+  Text: fsm_t_pkg  ...
+enterList_of_interface_identifiers
+  File: top.v , 21
+  Text: state2  ...
+enterInterface_identifier
+  File: top.v , 21
+  Text: state2  ...
+enterIdentifier
+  File: top.v , 21
+  Text: state2  ...
+enterModule_item
+  File: top.v , 23
+  Text: bottom bot ( ) ;  ...
+enterNon_port_module_item
+  File: top.v , 23
+  Text: bottom bot ( ) ;  ...
+enterModule_or_generate_item
+  File: top.v , 23
+  Text: bottom bot ( ) ;  ...
+enterModule_instantiation
+  File: top.v , 23
+  Text: bottom bot ( ) ;  ...
+enterIdentifier
+  File: top.v , 23
+  Text: bottom  ...
+enterHierarchical_instance
+  File: top.v , 23
+  Text: bot ( )  ...
+enterName_of_instance
+  File: top.v , 23
+  Text: bot  ...
+enterIdentifier
+  File: top.v , 23
+  Text: bot  ...
+enterList_of_port_connections
+  File: top.v , 23
+  Text:  ...
+enterOrdered_port_connection
+  File: top.v , 23
+  Text:  ...
+enterModule_item
+  File: top.v , 25
+  Text: Environment env ;  ...
+enterPort_declaration
+  File: top.v , 25
+  Text: Environment env  ...
+enterInterface_port_declaration
+  File: top.v , 25
+  Text: Environment env  ...
+enterInterface_identifier
+  File: top.v , 25
+  Text: Environment  ...
+enterIdentifier
+  File: top.v , 25
+  Text: Environment  ...
+enterList_of_interface_identifiers
+  File: top.v , 25
+  Text: env  ...
+enterInterface_identifier
+  File: top.v , 25
+  Text: env  ...
+enterIdentifier
+  File: top.v , 25
+  Text: env  ...
+enterModule_item
+  File: top.v , 26
+  Text: Configuration1 cfg ; ...
+enterPort_declaration
+  File: top.v , 26
+  Text: Configuration1 cfg  ...
+enterInterface_port_declaration
+  File: top.v , 26
+  Text: Configuration1 cfg  ...
+enterInterface_identifier
+  File: top.v , 26
+  Text: Configuration1  ...
+enterIdentifier
+  File: top.v , 26
+  Text: Configuration1  ...
+enterList_of_interface_identifiers
+  File: top.v , 26
+  Text: cfg  ...
+enterInterface_identifier
+  File: top.v , 26
+  Text: cfg  ...
+enterIdentifier
+  File: top.v , 26
+  Text: cfg  ...
+enterModule_item
+  File: top.v , 27
+  Text: Configuration2 cfg ; ...
+enterPort_declaration
+  File: top.v , 27
+  Text: Configuration2 cfg  ...
+enterInterface_port_declaration
+  File: top.v , 27
+  Text: Configuration2 cfg  ...
+enterInterface_identifier
+  File: top.v , 27
+  Text: Configuration2  ...[  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 3
 [   NOTE] : 0
 
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
+  File: top.v , 27
+  Text: Configuration2  ...
+enterList_of_interface_identifiers
+  File: top.v , 27
+  Text: cfg  ...
+enterInterface_identifier
+  File: top.v , 27
+  Text: cfg  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: 1  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 67
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int try_get ( int ke ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: try_get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: 1  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 70
-  Text: endfunction  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 72
-  Text: endclass  ...
+  File: top.v , 27
+  Text: cfg  ...
+enterEndmodule
+  File: top.v , 28
+  Text: endmodule  ...
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-Command exited with non-zero status 1
-0.22user 0.01system 0:00.24elapsed 97%CPU (0avgtext+0avgdata 40068maxresident)k
-592inputs+24outputs (4major+10183minor)pagefaults 0swaps
diff --git a/tests/UnitQueue/UnitQueue.log b/tests/UnitQueue/UnitQueue.log
index a7a0fff..9818acb 100644
--- a/tests/UnitQueue/UnitQueue.log
+++ b/tests/UnitQueue/UnitQueue.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitQueue/slpp_all/surelog.log.
 
 LIB:  work
@@ -219,13 +215,8 @@
                top.v:2 type definition.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 4
 [WARNING] : 0
 [   NOTE] : 4
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.38user 0.02system 0:00.40elapsed 98%CPU (0avgtext+0avgdata 40216maxresident)k
-0inputs+16outputs (0major+13471minor)pagefaults 0swaps
diff --git a/tests/UnitTest/UnitTest.log b/tests/UnitTest/UnitTest.log
index fbaea2b..9ddd543 100644
--- a/tests/UnitTest/UnitTest.log
+++ b/tests/UnitTest/UnitTest.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../build/tests/UnitTest/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -34,13 +30,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 0
 [   NOTE] : 4
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.15user 0.01system 0:00.23elapsed 70%CPU (0avgtext+0avgdata 39908maxresident)k
-13032inputs+8outputs (46major+9624minor)pagefaults 0swaps
diff --git a/tests/regression.log b/tests/regression.log
index 84f56cc..7be2312 100644
--- a/tests/regression.log
+++ b/tests/regression.log
@@ -2,6 +2,35 @@
 ************************
 START SURELOG REGRESSION
 
-Starts on 11/15/2019 21:28:26
-COMMAND: /usr/bin/time  /home/alain/Surelog/tests/../dist/surelog/surelog
-Creating release for regression...
+Starts on 11/19/2019 23:14:23
+COMMAND: /usr/bin/time  /home/alain/Surelog/tests/dist/Release/surelog
+Run with mt=0
+THERE ARE 103 tests
+RUNNING   1 tests
+
++------------+----------+----------+----------+----------+----------+----------+--------------+--------------+
+| TESTNAME   | STATUS   | FATAL    | SYNTAX   | ERROR    | WARNING  | NOTE     | ELAPSED TIME | MEM(Mb)      |
++------------+----------+----------+----------+----------+----------+----------+--------------+--------------+
+
+cd UnitPython
+/usr/bin/time  /home/alain/Surelog/tests/dist/Release/surelog      -writepp -parse -verbose    -d ast +incdir+../../third_party/UVM/ovm-2.1.2/src/ +incdir+../../third_party/UVM/vmm-1.1.1a/sv     -mt max -fileunit  top.v   -nocache -pythonevalscriptperfile myscriptPerFile.py  -pythonevalscript myscriptPerDesign.py -pythonlistenerfile my_listener.py 
+
+| UnitPython | DIFF     | -1 (-1)  | -1 (-1)  | -1 (-1)  | -1 (-4)  | -1 (-1)  | 0s           | 1    (+1)    |
++------------+----------+----------+----------+----------+----------+----------+--------------+--------------+
+
+ RESULT : DIFF
+
+ tkdiff UnitPython/UnitPython.log tests/UnitPython/UnitPython.log
+
++--------------+----------+----------+
+|              | CURRENT  | PREVIOUS |
++--------------+----------+----------+
+|TOTAL ELAPSED | 0s       | 0s       |
+|TOTAL USER    | 0s       | 0s       |
+|MAX MEM TEST  | 1Mb      | 0Mb      |
+|MAX TIME TEST | 0s       | 0s       |
++--------------+----------+----------+
+
+End on 11/19/2019 23:14:24
+END SURELOG REGRESSION
+************************
diff --git a/tests/regression.tcl b/tests/regression.tcl
index cbfc39e..5cf7681 100755
--- a/tests/regression.tcl
+++ b/tests/regression.tcl
@@ -94,11 +94,7 @@
 set LONG_TESTS(YosysOldSystem) 1
 set LONG_TESTS(Google) 1
 set LONG_TESTS(GoogleMT) 1
-
-
-
-# Keep MT On
-set KEEP_MT_ON(AmiqEth) 1
+set LONG_TESTS(UnitElabExternNested) 1
 
 if [regexp {show_diff}  $argv] {
     regsub "show_diff" $argv "" argv
@@ -243,16 +239,20 @@
     set errors -1
     set warnings -1
     set notes -1
-    set syntax 0
+    set syntax -1
     # Normal test
     regexp {FATAL\] : ([0-9]+)} $result tmp fatals
+    regexp {SYNTAX\] : ([0-9]+)} $result tmp syntax
     regexp {ERROR\] : ([0-9]+)} $result tmp errors
     regexp {WARNING\] : ([0-9]+)} $result tmp warnings
-    regexp {NOTE\] : ([0-9]+)} $result tmp notes
+    regexp {NOTE\] : ([0-9]+)} $result tmp notes   
     # Diff test
     if [regexp {\| FATAL \|[ ]*([0-9]+)[ ]*\|[ ]*([0-9]+)[ ]*} $result tmp fatal1 fatal2] {
 	set fatals [expr $fatal1 + $fatal2]
     }
+    if [regexp {\|SYNTAX \|[ ]*([0-9]+)[ ]*\|[ ]*([0-9]+)[ ]*} $result tmp syntax1 syntax2] {
+	set syntax [expr $syntax1 + $syntax2]
+    }
     if [regexp {\| ERROR \|[ ]*([0-9]+)[ ]*\|[ ]*([0-9]+)[ ]*} $result tmp error1 error2] {
 	set errors [expr $error1 + $error2]
     }
@@ -265,6 +265,7 @@
     # Show help test
     if [regexp {outputlineinfo} $result] {
 	set fatals 0
+	set syntax 0
 	set errors 0
 	set warnings 0
 	set notes 0
@@ -273,9 +274,6 @@
 
     set lines [split $result "\n"]
     foreach line $lines {
-      	if [regexp {Syntax error} $line] {
-	    incr syntax
-	}
 	if [regexp {(\[.*\])} $line tmp code] {
 	    if [info exist CODES($code)] {
 		incr CODES($code)
@@ -305,10 +303,12 @@
     set MEM 0
     set sep +-[string repeat - $w1]-+-[string repeat - $w2]-+-[string repeat - $w2]-+-[string repeat - $w4]-+-[string repeat - $w2]-+-[string repeat - $w4]-+-[string repeat - $w2]-+-[string repeat - $w5]-+-[string repeat - $w5]-+
     log $sep
-    log [format "| %-*s | %-*s | %-*s | %-*s | %-*s | %-*s | %-*s | %-*s | %-*s |" $w1 "TESTNAME" $w2 "STATUS"  $w2 "FATALS" $w4 "ERRORS" $w2 "WARNINGS"  $w4 "NOTES"  $w2 "SYNTAX"  $w5 "ELAPSED TIME" $w5 "MEM(Mb)"]
+    log [format "| %-*s | %-*s | %-*s | %-*s | %-*s | %-*s | %-*s | %-*s | %-*s |" $w1 "TESTNAME" $w2 "STATUS"  $w2 "FATAL"  $w2 "SYNTAX" $w4 "ERROR" $w2 "WARNING"  $w4 "NOTE"  $w5 "ELAPSED TIME" $w5 "MEM(Mb)"]
     log $sep
 
     foreach testname [array names TESTS] {
+	set time_result ""
+	set result ""
 	if {($ONETEST != "") && ($testname != $ONETEST)} {
 	    continue
 	}
@@ -340,9 +340,9 @@
 	}
 
 	if {$UPDATE == 1} {
-	    if [file exist "$test/${testname}_diff.log"] {
-		log  [format "| %-*s | Copying $test/${testname}_diff.log to $testdir/${testname}.log" $w1 $testname]
-		file copy -force "$test/${testname}_diff.log" "$testdir/${testname}.log"
+	    if [file exist "$REGRESSION_PATH/tests/$test/${testname}.log"] {
+		log  [format "| %-*s | Copying $REGRESSION_PATH/tests/$test/${testname}.log to $testdir/${testname}.log" $w1 $testname]
+		file copy -force "$REGRESSION_PATH/tests/$test/${testname}.log" "$testdir/${testname}.log"
 		continue
 	    } else {
 		log  [format "| %-*s | No action" $w1 $testname]
@@ -358,55 +358,55 @@
 	set passstatus "PASS"
 	if {($ONETEST != "") && [regexp {ddd} $SURELOG_COMMAND]} {
 	    log "\nrun $command\n"
-	    catch {set result [exec sh -c "$SURELOG_COMMAND"]} result
+	    catch {set time_result [exec sh -c "time $SURELOG_COMMAND > $REGRESSION_PATH/tests/$test/${testname}.log"]} time_result
 	    exit
 	}
 	if {$DIFF_MODE == 0} {
+	    set output_path "-o ../../build/tests/$test/"
+	    if [regexp {third_party} $testdir] {
+		set output_path "-o ../../../build/tests/$test/"
+	    }
 	    if [regexp {\.sh} $command] {
-		catch {set result [exec sh -c "time $command [lindex $SURELOG_COMMAND 1]"]} result
+		catch {set time_result [exec sh -c "time $command [lindex $SURELOG_COMMAND 1] > $REGRESSION_PATH/tests/$test/${testname}.log"]} time_result
 	    } else {
 		if [regexp {\*/\*\.[sv]} $command] {
 		    regsub -all {[\*/]+\*\.[sv]+} $command "" command
 		    set command "$command [findFiles . *.v] [findFiles . *.sv]"
 		    regsub -all [pwd]/ $command "" command
 		}
-		set output_path "-o ../../build/tests/$test/"
-		if [regexp {third_party} $testdir] {
-		    set output_path "-o ../../../build/tests/$test/"
-		}
 		if ![info exist KEEP_MT_ON($testname)] {
 		    regsub -all {\-mt[ ]+max} $command "" command
 		    regsub -all {\-mt[ ]+[0-9]+} $command "" command
 		    set command "$command -mt $MT_MAX $output_path"
 		} else {
-		    set command "$command -o $REGRESSION_PATH/tests/$test/"
+		    set command "$command -o $output_path"
 		}
 
-		catch {set result [exec sh -c "$SURELOG_COMMAND $command"]} result
-	    }
-	} else {
-	    set result ""
-	    if [file exists "$REGRESSION_PATH/tests/$test/${testname}_diff.log"] {
-		set fid [open "$REGRESSION_PATH/tests/$test/${testname}_diff.log" "r"]
-		set result [read $fid]
-		close $fid
-	    } else {
-		if [file exists "${testname}.log"] {
-		    set fid [open "${testname}.log" "r"]
-		    set result [read $fid]
-		    close $fid
-		}
+		catch {set time_result [exec sh -c "$SURELOG_COMMAND $command > $REGRESSION_PATH/tests/$test/${testname}.log"]} time_result
 	    }
 	}
+	
+	if [file exists "$REGRESSION_PATH/tests/$test/${testname}.log"] {
+	    set fid [open "$REGRESSION_PATH/tests/$test/${testname}.log" "r"]
+	    set result [read $fid]
+	    close $fid
+	} else {
+	    if [file exists "${testname}.log"] {
+		set fid [open "${testname}.log" "r"]
+		set result [read $fid]
+		close $fid
+	    }
+	}
+	
 	set segfault 0
 	if {$DIFF_MODE == 0} {
 	    if [regexp {Segmentation fault} $result] {
 		set segfault 1
 		exec sh -c "cd $REGRESSION_PATH/tests/$test/; rm -rf slpp*"
 		if [regexp {\.sh} $command] {
-		    catch {set result [exec sh -c "time $command [lindex $SURELOG_COMMAND 1]"]} result
+		    catch {set time_result [exec sh -c "time $command [lindex $SURELOG_COMMAND 1] > $REGRESSION_PATH/tests/$test/${testname}.log"]} time_result
 		} else {
-		    catch {set result [exec sh -c "$SURELOG_COMMAND $command"]} result
+		    catch {set time_result [exec sh -c "$SURELOG_COMMAND $command > $REGRESSION_PATH/tests/$test/${testname}.log"]} time_result
 		}
 		if [regexp {Segmentation fault} $result] {
 		    set passstatus "FAIL"
@@ -422,11 +422,13 @@
 	}
 
 	set fatals -1
+	set syntax -1
 	set errors -1
 	set warnings -1
 	set notes -1
 
 	set log_fatals -1
+	set log_syntax -1
 	set log_errors -1
 	set log_warnings -1
 	set log_notes -1
@@ -435,7 +437,7 @@
 	set elapsed 0
 	set cpu 0
 	foreach {fatals errors warnings notes details syntax} [count_messages $result] {}
-	if [regexp {([0-9\.:]+)user [0-9\.:]+system ([0-9]+):([0-9\.]+)elapsed ([0-9]+)%CPU} $result tmp user elapsed_min elapsed cpu] {
+	if [regexp {([0-9\.:]+)user [0-9\.:]+system ([0-9]+):([0-9\.]+)elapsed ([0-9]+)%CPU} $time_result tmp user elapsed_min elapsed cpu] {
 	    set user [expr int($user)]
 	    set elapsed [expr int(($elapsed_min *60) + $elapsed)]
 	    set USER    [expr $USER + $user]
@@ -445,7 +447,7 @@
 	    }
 	}
 	set mem 0
-	if [regexp {([0-9]+)maxresident} $result tmp mem] {
+	if [regexp {([0-9]+)maxresident} $time_result tmp mem] {
 	    set mem [expr $mem / 1024]
 	    if {$MAX_MEM < $mem} {
 		set MAX_MEM $mem
@@ -455,50 +457,56 @@
 	set SPEED ""
 	set FASTER_OR_SLOWER 0
 	set DIFF_MEM 0
+	
+	set time_content ""
+	set no_previous_time_content 1
+	if [file exists "$REGRESSION_PATH/tests/$test/${testname}.time"] {
+	    set fid [open "$REGRESSION_PATH/tests/$test/${testname}.time" "r"]
+	    set time_content [read $fid]
+	    close $fid
+	    set no_previous_time_content 0
+	}
 	if [file exists "$testname.log"] {
 	    set fid [open "$testname.log" "r"]
-	    set content [read $fid]
+	    set content [read $fid]	    
 	    close $fid
 	    foreach {log_fatals log_errors log_warnings log_notes log_details log_syntax} [count_messages $content] {}
 	    set prior_user 0
 	    set prior_elapsed_min 0
 	    set prior_elapsed 0
 	    set cpu 0
-	    if [regexp {([0-9\.]+)user [0-9\.:]+system ([0-9]+):([0-9\.]+)elapsed ([0-9]+)%CPU} $content tmp prior_user prior_elapsed_min prior_elapsed cpu] {
-		set prior_user [expr int($prior_user)]
-		set prior_elapsed [expr int(($prior_elapsed_min *60) + $prior_elapsed)]
-		set PRIOR_USER    [expr $PRIOR_USER + $prior_user]
-		set PRIOR_ELAPSED [expr $PRIOR_ELAPSED +  $prior_elapsed]
-		if {$PRIOR_MAX_TIME < $prior_elapsed} {
-		    set PRIOR_MAX_TIME $prior_elapsed
-		}
-		if [expr $elapsed > $prior_elapsed] {
-		    set SPEED [format "%-*s %-*s " 4 "${elapsed}s" 5 "(+[expr $elapsed - $prior_elapsed]s)"]
-		    set FASTER_OR_SLOWER 1
-		} elseif [expr $elapsed == $prior_elapsed] {
-		    set SPEED [format "%-*s " 4 "${elapsed}s"]
-		} else {
-		    set SPEED [format "%-*s %-*s " 4 "${elapsed}s" 5 "(-[expr $prior_elapsed - $elapsed]s)"]
-		    set FASTER_OR_SLOWER 1
-		}
+	    regexp {([0-9\.]+)user [0-9\.:]+system ([0-9]+):([0-9\.]+)elapsed ([0-9]+)%CPU} $time_content tmp prior_user prior_elapsed_min prior_elapsed cpu
+	    set prior_user [expr int($prior_user)]
+	    set prior_elapsed [expr int(($prior_elapsed_min *60) + $prior_elapsed)]
+	    set PRIOR_USER    [expr $PRIOR_USER + $prior_user]
+	    set PRIOR_ELAPSED [expr $PRIOR_ELAPSED +  $prior_elapsed]
+	    if {$PRIOR_MAX_TIME < $prior_elapsed} {
+		set PRIOR_MAX_TIME $prior_elapsed
 	    }
-
+	    if [expr $elapsed > $prior_elapsed] {
+		set SPEED [format "%-*s %-*s " 4 "${elapsed}s" 5 "(+[expr $elapsed - $prior_elapsed]s)"]
+		set FASTER_OR_SLOWER 1
+	    } elseif [expr ($elapsed == $prior_elapsed) || ($no_previous_time_content)] {
+		set SPEED [format "%-*s " 4 "${elapsed}s"]
+	    } else {
+		set SPEED [format "%-*s %-*s " 4 "${elapsed}s" 5 "(-[expr $prior_elapsed - $elapsed]s)"]
+		set FASTER_OR_SLOWER 1
+	    }
+	
 	    set prior_mem 0
-	    if [regexp {([0-9]+)maxresident} $content tmp prior_mem] {
-		set prior_mem [expr $prior_mem / 1024]
-		if {$PRIOR_MAX_MEM < $prior_mem} {
-		    set PRIOR_MAX_MEM $prior_mem
-		}
-		if [expr $mem > $prior_mem] {
-		    set MEM  [format "%-*s %-*s " 4 "${mem}" 5 "(+[expr $mem - $prior_mem])"]
-		    set DIFF_MEM 1
-		} elseif  [expr $mem == $prior_mem] {
-		    set MEM [format "%-*s " 4 "${mem}"]
-		} else {
-		    set MEM  [format "%-*s %-*s " 4 "${mem}" 5 "(-[expr $prior_mem - $mem])"]
-		    set DIFF_MEM 1
-		}
-
+	    regexp {([0-9]+)maxresident} $time_content tmp prior_mem 
+	    set prior_mem [expr $prior_mem / 1024]
+	    if {$PRIOR_MAX_MEM < $prior_mem} {
+		set PRIOR_MAX_MEM $prior_mem
+	    }
+	    if [expr $mem > $prior_mem] {
+		set MEM  [format "%-*s %-*s " 4 "${mem}" 5 "(+[expr $mem - $prior_mem])"]
+		set DIFF_MEM 1
+	    } elseif  [expr ($mem == $prior_mem) || ($no_previous_time_content)] {
+		set MEM [format "%-*s " 4 "${mem}"]
+	    } else {
+		set MEM  [format "%-*s %-*s " 4 "${mem}" 5 "(-[expr $prior_mem - $mem])"]
+		set DIFF_MEM 1
 	    }
 
 	    if {($fatals != $log_fatals) || ($errors != $log_errors) || ($warnings != $log_warnings) || ($notes != $log_notes) || ($syntax != $log_syntax)} {
@@ -526,15 +534,15 @@
 	    if {$segfault == 0} {
 		set segfault 1
 	    }
-	    set fatals "segfault"
+	    set fatals "SEGFAULT"
 	    set passstatus "FAIL"
             set overrallpass "FAIL"
 	}
 	if {$segfault == 1 || $segfault == 2} {
-	    set fatals "segfault"
+	    set fatals "SEGFAULT"
 	}
 
-	log [format " %-*s | %-*s | %-*s | %-*s | %-*s | %-*s | %-*s | %-*s |" $w2 $passstatus $w2 $fatals $w4 $errors $w2 $warnings $w4 $notes $w2 $syntax $w5 $SPEED $w5 $MEM ]
+	log [format " %-*s | %-*s | %-*s | %-*s | %-*s | %-*s | %-*s | %-*s |" $w2 $passstatus $w2 $fatals $w2 $syntax $w4 $errors $w2 $warnings $w4 $notes $w5 $SPEED $w5 $MEM ]
 	flush stdout
 	if {$SHOW_DETAILS == 1} {
 	    log "Log:\n"
@@ -550,10 +558,14 @@
 
 
 	set fid 0
+	set fid_t 0
 	if {$UPDATE == 1} {
 	    set fid [open "$testname.log" "w"]
 	} else {
-	    set fid [open "$REGRESSION_PATH/tests/$test/${testname}_diff.log" "w"]
+	    set fid [open "$REGRESSION_PATH/tests/$test/${testname}.log" "w"]
+	    set fid_t [open "$REGRESSION_PATH/tests/$test/${testname}.time" "w"]
+	    puts $fid_t $time_result
+	    close $fid_t
 	}
 	puts $fid $result
 	close $fid
@@ -594,11 +606,11 @@
 foreach testname [array names DIFF_TESTS] {
     set testdir $TESTS_DIR($testname)
     if {$SHOW_DIFF == 0} {
-	log " tkdiff $testdir/${testname}.log tests/$DIFF_TESTS($testname)/${testname}_diff.log"
+	log " tkdiff $testdir/${testname}.log tests/$DIFF_TESTS($testname)/${testname}.log"
     } else {
 	log "============================== DIFF ======================================================"
-	log "diff $testdir/${testname}.log tests/$DIFF_TESTS($testname)/${testname}_diff.log"
-	catch {exec sh -c "diff -d $testdir/${testname}.log tests/$DIFF_TESTS($testname)/${testname}_diff.log"} dummy
+	log "diff $testdir/${testname}.log tests/$DIFF_TESTS($testname)/${testname}.log"
+	catch {exec sh -c "diff -d $testdir/${testname}.log tests/$DIFF_TESTS($testname)/${testname}.log"} dummy
 	puts $dummy
     }
 }
diff --git a/third_party/tests/AVLMM/AVLMM.log b/third_party/tests/AVLMM/AVLMM.log
index fdc0dca..c0235d8 100644
--- a/third_party/tests/AVLMM/AVLMM.log
+++ b/third_party/tests/AVLMM/AVLMM.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/AVLMM/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -59,13 +55,8 @@
 [WARNI:EL0513] Nb undefined instances: 1.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 4
 [WARNING] : 4
 [   NOTE] : 5
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-3.53user 0.04system 0:03.57elapsed 99%CPU (0avgtext+0avgdata 86624maxresident)k
-0inputs+552outputs (0major+25223minor)pagefaults 0swaps
diff --git a/third_party/tests/AmiqEth/AmiqEth.log b/third_party/tests/AmiqEth/AmiqEth.log
index 930bc2d..bce01ee 100644
--- a/third_party/tests/AmiqEth/AmiqEth.log
+++ b/third_party/tests/AmiqEth/AmiqEth.log
@@ -1,22 +1,14 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
-[INFO :CM0023] Creating log file /home/alain/Surelog/build/tests/AmiqEth/slpp_unit/surelog.log.
-
-[INFO :CM0024] Executing with 4 threads.
+[INFO :CM0023] Creating log file ../../../build/tests/AmiqEth/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
 [INFO :PP0122] Preprocessing source file "builtin.sv".
 
-[INFO :PP0122] Preprocessing source file "../../UVM/ovm-2.1.2/src/ovm_pkg.sv".
-
 [INFO :PP0122] Preprocessing source file "../../UVM/uvm-1.2/src/uvm_pkg.sv".
 
-[INFO :PP0122] Preprocessing source file "uvmc-2.2/src/connect/sv/ovmc_pkg.sv".
+[INFO :PP0122] Preprocessing source file "../../UVM/ovm-2.1.2/src/ovm_pkg.sv".
 
-[INFO :PP0122] Preprocessing source file "ve/sv/amiq_eth_ve_top.v".
+[INFO :PP0122] Preprocessing source file "uvmc-2.2/src/connect/sv/ovmc_pkg.sv".
 
 [INFO :PP0123] Preprocessing include file "../../UVM/ovm-2.1.2/src/ovm_macros.svh".
 
@@ -80,6 +72,8 @@
 
 [INFO :PP0123] Preprocessing include file "uvmc-2.2/src/connect/sv/uvmc_commands.sv".
 
+[INFO :PP0122] Preprocessing source file "ve/sv/amiq_eth_ve_top.v".
+
 [INFO :PP0123] Preprocessing include file "./ve/sv/amiq_eth_ve_pkg.sv".
 
 [INFO :PP0123] Preprocessing include file "./sv/amiq_eth_pkg.sv".
@@ -210,44 +204,16 @@
 
 [INFO :PA0201] Parsing source file "../../UVM/uvm-1.2/src/uvm_pkg.sv".
 
-[INFO :PA0201] Parsing source file "uvmc-2.2/src/connect/sv/ovmc_pkg.sv".
-
 [INFO :PA0201] Parsing source file "../../UVM/ovm-2.1.2/src/ovm_pkg.sv".
 
 [INFO :PA0201] Parsing source file "uvmc-2.2/src/connect/sv/ovmc_pkg.sv".
 
 [INFO :PA0201] Parsing source file "ve/sv/amiq_eth_ve_top.v".
 
-[INFO :PA0201] Parsing source file "ve/sv/amiq_eth_ve_top.v".
-
-[INFO :PA0201] Parsing source file "uvmc-2.2/src/connect/sv/ovmc_pkg.sv".
-
-[INFO :PA0201] Parsing source file "ve/sv/amiq_eth_ve_top.v".
-
-[INFO :PA0201] Parsing source file "ve/sv/amiq_eth_ve_top.v".
-
-[INFO :PA0201] Parsing source file "ve/sv/amiq_eth_ve_top.v".
-
-[INFO :PA0201] Parsing source file "ve/sv/amiq_eth_ve_top.v".
-
-[INFO :PA0201] Parsing source file "uvmc-2.2/src/connect/sv/ovmc_pkg.sv".
-
-[INFO :PA0201] Parsing source file "ve/sv/amiq_eth_ve_top.v".
-
-[WARNI:PA0205] uvmc-2.2/src/connect/sv/ovmc_pkg.sv:38 No timescale set for "ovmc_pkg".
-
-[WARNI:PA0205] ./sv/amiq_eth_pkg.sv:24 No timescale set for "amiq_eth_pkg".
-
-[WARNI:PA0205] uvmc-2.2/src/connect/sv/uvmc_pkg.sv:36 No timescale set for "uvmc_pkg".
-
-[WARNI:PA0205] ./ve/sv/amiq_eth_ve_pkg.sv:31 No timescale set for "amiq_eth_ve_pkg".
-
-[WARNI:PA0205] ve/sv/amiq_eth_ve_top.v:31 No timescale set for "amiq_eth_ve_top".
+[WARNI:PA0205] uvm-1.2/src/uvm_pkg.sv:27 No timescale set for "uvm_pkg".
 
 [WARNI:PA0205] ovm-2.1.2/src/ovm_pkg.sv:22 No timescale set for "ovm_pkg".
 
-[WARNI:PA0205] uvm-1.2/src/uvm_pkg.sv:27 No timescale set for "uvm_pkg".
-
 [INFO :CP0300] Compilation...
 
 [INFO :CP0301] uvm-1.2/src/uvm_pkg.sv:27 Compile package "uvm_pkg".
@@ -274,447 +240,133 @@
 
 [INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_fcoe".
 
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ipv4".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_hsr_base".
 
 [INFO :CP0302] ./sv/amiq_eth_packet_hsr_standard.sv:24 Compile class "amiq_eth_pkg::amiq_eth_packet_hsr_standard".
 
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_hsr_base".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_magic".
-
-[INFO :CP0302] ./sv/amiq_eth_packet_length.sv:28 Compile class "amiq_eth_pkg::amiq_eth_packet_length".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_jumbo".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ipv4".
 
 [INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ipv4_header".
 
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ptp_body".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_jumbo".
 
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_pfc_pause".
+[INFO :CP0302] ./sv/amiq_eth_packet_length.sv:28 Compile class "amiq_eth_pkg::amiq_eth_packet_length".
+
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_magic".
 
 [INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_pause".
 
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ptp_announce_message".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ptp_header".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ptp_delay_req_message".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_env".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_scoreboard".
-
-[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::connect_phase".
-
-[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::import_connections_phase".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:148 Compile class "ovm_pkg::ovm_analysis_port".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_pfc_pause".
 
 [INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ptp".
 
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:129 Compile class "ovm_pkg::ovm_blocking_get_peek_export".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ptp_announce_message".
 
-[INFO :CP0302] ./sv/amiq_eth_packet_snap.sv:28 Compile class "amiq_eth_pkg::amiq_eth_packet_snap".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ptp_body".
 
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:94 Compile class "ovm_pkg::ovm_blocking_get_port".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ptp_delay_req_message".
 
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:245 Compile class "ovm_pkg::ovm_blocking_master_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:112 Compile class "ovm_pkg::ovm_blocking_peek_port".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_ipv4_packets".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:244 Compile class "ovm_pkg::ovm_blocking_slave_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:311 Compile class "ovm_pkg::ovm_blocking_transport_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_callback.svh:58 Compile class "ovm_pkg::ovm_callbacks".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_driver.svh:41 Compile class "ovm_pkg::ovm_driver".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_event.svh:32 Compile class "ovm_pkg::ovm_event".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_in_order_comparator.svh:67 Compile class "ovm_pkg::ovm_in_order_comparator".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:135 Compile class "ovm_pkg::ovm_nonblocking_get_peek_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:230 Compile class "ovm_pkg::ovm_nonblocking_master_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:118 Compile class "ovm_pkg::ovm_nonblocking_peek_port".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:251 Compile class "ovm_pkg::ovm_nonblocking_slave_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:317 Compile class "ovm_pkg::ovm_nonblocking_transport_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_pool.svh:241 Compile class "ovm_pkg::ovm_object_string_pool".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_object.svh:908 Compile class "ovm_pkg::ovm_options_container".
-
-[INFO :CP0302] ./tests/amiq_eth_ve_test_packets.sv:24 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_packets".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_packer.svh:45 Compile class "ovm_pkg::ovm_packer".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_pool.svh:31 Compile class "ovm_pkg::ovm_pool".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_port_base.svh:76 Compile class "ovm_pkg::ovm_port_component".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_port_base.svh:44 Compile class "ovm_pkg::ovm_port_component_base".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:493 Compile class "ovm_pkg::ovm_printer_knobs".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_push_driver.svh:38 Compile class "ovm_pkg::ovm_push_driver".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:87 Compile class "ovm_pkg::ovm_put_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:98 Compile class "ovm_pkg::ovm_put_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_queue.svh:32 Compile class "ovm_pkg::ovm_queue".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_recorder.svh:34 Compile class "ovm_pkg::ovm_recorder".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_report_server.svh:37 Compile class "ovm_pkg::ovm_report_server".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/sqr_connections.svh:103 Compile class "ovm_pkg::ovm_seq_item_pull_imp".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_ptp_packets".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequence.svh:31 Compile class "ovm_pkg::ovm_sequence".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequencer_base.svh:50 Compile class "ovm_pkg::ovm_sequencer_base".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:59 Compile class "ovmc_pkg::uvmc_converter".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_tlm_defines.svh:493 Compile class "amiq_eth_ve_pkg::uvm_analysis_imp_from_producer".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm1.sv:608 Compile class "ovmc_pkg::uvmc_tlm1_port_proxy".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:152 Compile class "ovm_pkg::avm_analysis_export".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ptp_header".
 
 [INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "amiq_eth_pkg::amiq_eth_packet_ptp_sync_message".
 
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_producer".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:152 Compile class "ovm_pkg::avm_analysis_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_blocking_get_peek_export".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_blocking_get_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:82 Compile class "ovm_pkg::avm_blocking_master_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_blocking_peek_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_blocking_put_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:82 Compile class "ovm_pkg::avm_blocking_slave_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:175 Compile class "ovm_pkg::avm_class_comp".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:193 Compile class "ovm_pkg::avm_class_pair".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_get_peek_export".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_get_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:87 Compile class "ovm_pkg::avm_master_export".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_nonblocking_get_export".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_nonblocking_get_peek_export".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_analysis_port.svh:111 Compile class "uvm_pkg::uvm_analysis_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:87 Compile class "ovm_pkg::avm_nonblocking_master_export".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_arp_packets".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_barrier.svh:34 Compile class "uvm_pkg::uvm_barrier".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_nonblocking_peek_export".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_nonblocking_peek_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:204 Compile class "uvm_pkg::uvm_blocking_master_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_nonblocking_put_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:119 Compile class "uvm_pkg::uvm_blocking_peek_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:82 Compile class "ovm_pkg::avm_nonblocking_slave_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:82 Compile class "uvm_pkg::uvm_blocking_put_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_peek_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:266 Compile class "uvm_pkg::uvm_blocking_slave_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_put_export".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_bottomup_phase.svh:36 Compile class "uvm_pkg::uvm_bottomup_phase".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:152 Compile class "ovm_pkg::avm_random_stimulus".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:1037 Compile class "uvm_pkg::uvm_callback_iter".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:82 Compile class "ovm_pkg::avm_slave_port".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:351 Compile class "uvm_pkg::uvm_check_phase".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:140 Compile class "ovm_pkg::avm_transport_export".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:134 Compile class "uvm_pkg::uvm_class_clone".
-
-[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::check_phase".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:93 Compile class "uvm_pkg::uvm_class_comp".
-
-[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::end_of_elaboration_phase".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:113 Compile class "uvm_pkg::uvm_class_converter".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_agent.svh:39 Compile class "ovm_pkg::ovm_agent".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_pair.svh:37 Compile class "uvm_pkg::uvm_class_pair".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:158 Compile class "ovm_pkg::ovm_analysis_imp".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_component.svh:60 Compile class "uvm_pkg::uvm_component".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_barrier.svh:33 Compile class "ovm_pkg::ovm_barrier".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:75 Compile class "ovm_pkg::ovm_blocking_put_port".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:265 Compile class "ovm_pkg::ovm_blocking_transport_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:267 Compile class "ovm_pkg::ovm_blocking_transport_port".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_basic".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:135 Compile class "ovm_pkg::ovm_class_clone".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_report_server.svh:244 Compile class "uvm_pkg::uvm_default_report_server".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:97 Compile class "ovm_pkg::ovm_class_comp".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:116 Compile class "ovm_pkg::ovm_class_converter".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_pair.svh:30 Compile class "ovm_pkg::ovm_class_pair".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_in_order_comparator.svh:212 Compile class "uvm_pkg::uvm_in_order_built_in_comparator".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_registry.svh:36 Compile class "ovm_pkg::ovm_component_registry".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_in_order_comparator.svh:72 Compile class "uvm_pkg::uvm_in_order_comparator".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_config.svh:25 Compile class "ovm_pkg::ovm_config_setting".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:218 Compile class "uvm_pkg::uvm_master_port".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_object.svh:882 Compile class "ovm_pkg::ovm_copy_map".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_mem_mam.svh:562 Compile class "uvm_pkg::uvm_mem_mam_cfg".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_env.svh:32 Compile class "ovm_pkg::ovm_env".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_mem_mam.svh:520 Compile class "uvm_pkg::uvm_mem_mam_policy".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_event_callback.svh:39 Compile class "ovm_pkg::ovm_event_callback".
-
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh:205 Compile class "uvm_pkg::uvm_mem_shared_access_seq".
-
-[INFO :CP0302] builtin.sv:156 Compile class "ovm_pkg::ovm_exhaustive_sequence".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_monitor.svh:34 Compile class "uvm_pkg::uvm_monitor".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:116 Compile class "ovm_pkg::ovm_get_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:105 Compile class "uvm_pkg::uvm_nonblocking_get_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:152 Compile class "ovm_pkg::ovm_get_peek_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:107 Compile class "uvm_pkg::uvm_nonblocking_get_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:699 Compile class "ovm_pkg::ovm_hier_printer_knobs".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:143 Compile class "uvm_pkg::uvm_nonblocking_get_peek_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_in_order_comparator.svh:205 Compile class "ovm_pkg::ovm_in_order_built_in_comparator".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:208 Compile class "uvm_pkg::uvm_nonblocking_master_export".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_config.svh:68 Compile class "ovm_pkg::ovm_int_config_setting".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:125 Compile class "uvm_pkg::uvm_nonblocking_peek_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:239 Compile class "ovm_pkg::ovm_master_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:88 Compile class "uvm_pkg::uvm_nonblocking_put_port".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:99 Compile class "ovm_pkg::ovm_nonblocking_get_export".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:249 Compile class "uvm_pkg::uvm_nonblocking_transport_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:136 Compile class "ovm_pkg::ovm_nonblocking_get_peek_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:252 Compile class "uvm_pkg::uvm_nonblocking_transport_port".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_fcoe_packets".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:117 Compile class "ovm_pkg::ovm_nonblocking_peek_export".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_object.svh:46 Compile class "uvm_pkg::uvm_object".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:128 Compile class "ovm_pkg::ovm_nonblocking_peek_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:129 Compile class "uvm_pkg::uvm_peek_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:92 Compile class "ovm_pkg::ovm_nonblocking_put_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:131 Compile class "uvm_pkg::uvm_peek_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:253 Compile class "ovm_pkg::ovm_nonblocking_slave_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:130 Compile class "uvm_pkg::uvm_peek_port".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_object.svh:53 Compile class "ovm_pkg::ovm_object".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_phase.svh:731 Compile class "uvm_pkg::uvm_phase_cb".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_phase.svh:675 Compile class "uvm_pkg::uvm_phase_state_change".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_port_base.svh:196 Compile class "uvm_pkg::uvm_port_base".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_phases.sv:35 Compile class "ovm_pkg::ovm_phase".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:80 Compile class "ovm_pkg::ovm_printer".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg.svh:37 Compile class "uvm_pkg::uvm_reg".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_push_sequencer.svh:29 Compile class "ovm_pkg::ovm_push_sequencer".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:88 Compile class "ovm_pkg::ovm_put_port".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_jumbo_packets".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_cbs.svh:44 Compile class "uvm_pkg::uvm_reg_cbs".
-
-[INFO :CP0302] builtin.sv:44 Compile class "ovm_pkg::ovm_random_sequence".
+[INFO :CP0302] ./sv/amiq_eth_packet_snap.sv:28 Compile class "amiq_eth_pkg::amiq_eth_packet_snap".
 
 [INFO :CP0302] ./sv/amiq_eth_pcap_util.sv:27 Compile class "amiq_eth_pkg::amiq_eth_pcap_hdr_base".
 
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_fifo.svh:35 Compile class "uvm_pkg::uvm_reg_fifo".
+[INFO :CP0302] ./sv/amiq_eth_pcap_util.sv:34 Compile class "amiq_eth_pkg::amiq_eth_pcap_hdr_s".
 
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_report_handler.svh:50 Compile class "ovm_pkg::ovm_report_handler".
+[INFO :CP0302] ./sv/amiq_eth_pcap_util.sv:196 Compile class "amiq_eth_pkg::amiq_eth_pcap_livestream".
 
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_indirect.svh:39 Compile class "uvm_pkg::uvm_reg_indirect_data".
+[INFO :CP0302] ./sv/amiq_eth_pcap_util.sv:133 Compile class "amiq_eth_pkg::amiq_eth_pcap_util".
 
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_predictor.svh:54 Compile class "uvm_pkg::uvm_reg_predictor".
+[INFO :CP0302] ./sv/amiq_eth_pcap_util.sv:97 Compile class "amiq_eth_pkg::amiq_eth_pcaprec_hdr_s".
 
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh:57 Compile class "uvm_pkg::uvm_reg_shared_access_seq".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_consumer".
 
-[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequence_base.svh:31 Compile class "ovm_pkg::ovm_sequence_base".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_env".
 
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_adapter.svh:170 Compile class "uvm_pkg::uvm_reg_tlm_adapter".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_producer".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_report_catcher.svh:107 Compile class "uvm_pkg::uvm_report_catcher".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_scoreboard".
 
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:260 Compile class "ovm_pkg::ovm_slave_port".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_arp_packets".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:122 Compile class "uvm_pkg::uvm_reset_phase".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_basic".
 
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_config.svh:83 Compile class "ovm_pkg::ovm_string_config_setting".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_ethernet_configuration_testing_packets".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:1369 Compile class "uvm_pkg::uvm_resource".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_fcoe_packets".
 
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:731 Compile class "ovm_pkg::ovm_table_printer_knobs".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_hsr_standard_packets".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:658 Compile class "uvm_pkg::uvm_resource_pool".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_ipv4_packets".
 
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_objection.svh:634 Compile class "ovm_pkg::ovm_test_done_objection".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_jumbo_packets".
+
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_magic_packets".
+
+[INFO :CP0302] ./tests/amiq_eth_ve_test_packets.sv:24 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_packets".
 
 [INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_pause_packets".
 
-[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_message.svh:309 Compile class "ovm_pkg::ovm_urm_override_operator".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_pfc_pause_packets".
 
-[INFO :CP0302] uvm-1.2/src/seq/uvm_sequencer_param_base.svh:31 Compile class "uvm_pkg::uvm_sequencer_param_base".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/tlm_fifos.svh:191 Compile class "ovm_pkg::tlm_analysis_fifo".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/tlm_fifo_base.svh:23 Compile class "ovm_pkg::tlm_event".
-
-[INFO :CP0302] uvm-1.2/src/dap/uvm_set_get_dap_base.svh:32 Compile class "uvm_pkg::uvm_set_get_dap_base".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/tlm_fifos.svh:37 Compile class "ovm_pkg::tlm_fifo".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:492 Compile class "uvm_pkg::uvm_shutdown_phase".
-
-[INFO :CP0302] uvm-1.2/src/dap/uvm_simple_lock_dap.svh:35 Compile class "uvm_pkg::uvm_simple_lock_dap".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:58 Compile class "ovm_pkg::tlm_nb_put_export".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:58 Compile class "ovm_pkg::tlm_put_export".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_spell_chkr.svh:28 Compile class "uvm_pkg::uvm_spell_chkr".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/tlm_req_rsp.svh:296 Compile class "ovm_pkg::tlm_transport_channel".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_recorder.svh:728 Compile class "uvm_pkg::uvm_text_recorder".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_message.svh:614 Compile class "ovm_pkg::urm_command_line_processor_c".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:181 Compile class "ovmc_pkg::uvmc_default_converter".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_tlm_fifos.svh:46 Compile class "uvm_pkg::uvm_tlm_fifo".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:155 Compile class "uvm_pkg::uvm_tlm_nb_initiator_socket".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:395 Compile class "uvm_pkg::uvm_tlm_nb_passthrough_target_socket".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_ptp_packets".
 
 [INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_snap_packets".
 
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:223 Compile class "uvm_pkg::uvm_tlm_nb_target_socket".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_tlm_defines.svh:493 Compile class "amiq_eth_ve_pkg::uvm_analysis_imp_from_consumer".
 
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_ports.svh:67 Compile class "uvm_pkg::uvm_tlm_nb_transport_bw_port".
+[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_tlm_defines.svh:493 Compile class "amiq_eth_ve_pkg::uvm_analysis_imp_from_producer".
 
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_ports.svh:51 Compile class "uvm_pkg::uvm_tlm_nb_transport_fw_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_tlm_req_rsp.svh:304 Compile class "uvm_pkg::uvm_tlm_transport_channel".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:115 Compile class "uvm_pkg::uvm_top_down_visitor_adapter".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_topdown_phase.svh:35 Compile class "uvm_pkg::uvm_topdown_phase".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_tr_stream.svh:54 Compile class "uvm_pkg::uvm_tr_stream".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:30 Compile class "uvm_pkg::uvm_visitor".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:92 Compile class "uvm_pkg::uvm_visitor_adapter".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_vreg.svh:618 Compile class "uvm_pkg::uvm_vreg_cbs".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_vreg_field.svh:49 Compile class "uvm_pkg::uvm_vreg_field".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:250 Compile class "uvmc_pkg::uvmc_base".
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:287 Compile class "ovm_pkg::analysis_fifo".
 
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:234 Compile class "ovm_pkg::avm_algorithmic_comparator".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:152 Compile class "ovm_pkg::avm_analysis_export".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:301 Compile class "ovm_pkg::avm_analysis_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:152 Compile class "ovm_pkg::avm_analysis_port".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_blocking_get_export".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_blocking_get_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_blocking_get_peek_export".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_blocking_get_peek_imp".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_blocking_get_peek_port".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_blocking_get_port".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:87 Compile class "ovm_pkg::avm_blocking_master_export".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:92 Compile class "ovm_pkg::avm_blocking_master_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:82 Compile class "ovm_pkg::avm_blocking_master_port".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_blocking_peek_export".
 
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm1.sv:171 Compile class "ovmc_pkg::uvmc_tlm1_dispatch".
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_blocking_peek_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_blocking_peek_port".
 
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_blocking_put_export".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_blocking_put_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_blocking_put_port".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:87 Compile class "ovm_pkg::avm_blocking_slave_export".
 
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:175 Compile class "ovm_pkg::avm_built_in_clone".
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:92 Compile class "ovm_pkg::avm_blocking_slave_imp".
 
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:120 Compile class "uvmc_pkg::uvmc_tlm2_dispatch".
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:82 Compile class "ovm_pkg::avm_blocking_slave_port".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:175 Compile class "ovm_pkg::avm_built_in_clone".
 
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:175 Compile class "ovm_pkg::avm_built_in_comp".
 
@@ -722,239 +374,477 @@
 
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:185 Compile class "ovm_pkg::avm_built_in_pair".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:175 Compile class "ovm_pkg::avm_class_clone".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:175 Compile class "ovm_pkg::avm_class_comp".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:175 Compile class "ovm_pkg::avm_class_converter".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:193 Compile class "ovm_pkg::avm_class_pair".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_get_export".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_get_imp".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_get_peek_export".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_get_peek_imp".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_get_peek_port".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_get_port".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:224 Compile class "ovm_pkg::avm_in_order_built_in_comparator".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:213 Compile class "ovm_pkg::avm_in_order_class_comparator".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:201 Compile class "ovm_pkg::avm_in_order_comparator".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:87 Compile class "ovm_pkg::avm_master_export".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:92 Compile class "ovm_pkg::avm_master_imp".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:82 Compile class "ovm_pkg::avm_master_port".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_nonblocking_get_export".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_nonblocking_get_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_nonblocking_get_peek_export".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_nonblocking_get_peek_imp".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_nonblocking_get_peek_port".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_nonblocking_get_port".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:87 Compile class "ovm_pkg::avm_nonblocking_master_export".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:92 Compile class "ovm_pkg::avm_nonblocking_master_imp".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:82 Compile class "ovm_pkg::avm_nonblocking_master_port".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_nonblocking_peek_export".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_nonblocking_peek_imp".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_nonblocking_peek_port".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_nonblocking_put_export".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_nonblocking_put_imp".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_nonblocking_put_port".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:87 Compile class "ovm_pkg::avm_nonblocking_slave_export".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:92 Compile class "ovm_pkg::avm_nonblocking_slave_imp".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:82 Compile class "ovm_pkg::avm_nonblocking_slave_port".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_peek_export".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_peek_imp".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_peek_port".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:308 Compile class "ovm_pkg::avm_port_base".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_put_export".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_put_imp".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_put_port".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:152 Compile class "ovm_pkg::avm_random_stimulus".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:87 Compile class "ovm_pkg::avm_slave_export".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:92 Compile class "ovm_pkg::avm_slave_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:82 Compile class "ovm_pkg::avm_slave_port".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:159 Compile class "ovm_pkg::avm_subscriber".
 
-[INFO :CP0302] ./sv/amiq_eth_pcap_util.sv:34 Compile class "amiq_eth_pkg::amiq_eth_pcap_hdr_s".
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:140 Compile class "ovm_pkg::avm_transport_export".
 
 [INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:294 Compile class "ovm_pkg::avm_transport_imp".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:132 Compile class "ovm_pkg::avm_transport_port".
+
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_misc.svh:24 Compile class "ovm_pkg::avm_virtual_class".
 
 [INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::build_phase".
 
+[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::check_phase".
+
+[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::configure_phase".
+
+[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::connect_phase".
+
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_report_handler.svh:507 Compile class "ovm_pkg::default_report_server".
 
+[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::end_of_elaboration_phase".
+
+[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::export_connections_phase".
+
 [INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::extract_phase".
 
+[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::import_connections_phase".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_agent.svh:39 Compile class "ovm_pkg::ovm_agent".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_algorithmic_comparator.svh:65 Compile class "ovm_pkg::ovm_algorithmic_comparator".
+
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:147 Compile class "ovm_pkg::ovm_analysis_export".
 
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:158 Compile class "ovm_pkg::ovm_analysis_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:148 Compile class "ovm_pkg::ovm_analysis_port".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_barrier.svh:33 Compile class "ovm_pkg::ovm_barrier".
+
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:93 Compile class "ovm_pkg::ovm_blocking_get_export".
 
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:104 Compile class "ovm_pkg::ovm_blocking_get_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:129 Compile class "ovm_pkg::ovm_blocking_get_peek_export".
+
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:140 Compile class "ovm_pkg::ovm_blocking_get_peek_imp".
 
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:130 Compile class "ovm_pkg::ovm_blocking_get_peek_port".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:94 Compile class "ovm_pkg::ovm_blocking_get_port".
+
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:223 Compile class "ovm_pkg::ovm_blocking_master_export".
 
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:299 Compile class "uvm_pkg::uvm_blocking_transport_imp".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:245 Compile class "ovm_pkg::ovm_blocking_master_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:225 Compile class "ovm_pkg::ovm_blocking_master_port".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:111 Compile class "ovm_pkg::ovm_blocking_peek_export".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:63 Compile class "uvm_pkg::uvm_build_phase".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:122 Compile class "ovm_pkg::ovm_blocking_peek_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:112 Compile class "ovm_pkg::ovm_blocking_peek_port".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:74 Compile class "ovm_pkg::ovm_blocking_put_export".
 
-[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:59 Compile class "uvm_pkg::uvm_built_in_converter".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:85 Compile class "ovm_pkg::ovm_blocking_put_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:75 Compile class "ovm_pkg::ovm_blocking_put_port".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:244 Compile class "ovm_pkg::ovm_blocking_slave_export".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:278 Compile class "ovm_pkg::ovm_blocking_slave_imp".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource_specializations.svh:154 Compile class "uvm_pkg::uvm_byte_rsrc".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:246 Compile class "ovm_pkg::ovm_blocking_slave_port".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:265 Compile class "ovm_pkg::ovm_blocking_transport_export".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:311 Compile class "ovm_pkg::ovm_blocking_transport_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:267 Compile class "ovm_pkg::ovm_blocking_transport_port".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:78 Compile class "ovm_pkg::ovm_built_in_clone".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:41 Compile class "ovm_pkg::ovm_built_in_comp".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:59 Compile class "ovm_pkg::ovm_built_in_converter".
 
 [INFO :CP0302] ovm-2.1.2/src/methodology/ovm_pair.svh:103 Compile class "ovm_pkg::ovm_built_in_pair".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:470 Compile class "uvm_pkg::uvm_callbacks".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_callback.svh:261 Compile class "ovm_pkg::ovm_callback".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_callback.svh:58 Compile class "ovm_pkg::ovm_callbacks".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:135 Compile class "ovm_pkg::ovm_class_clone".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:97 Compile class "ovm_pkg::ovm_class_comp".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:116 Compile class "ovm_pkg::ovm_class_converter".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_pair.svh:30 Compile class "ovm_pkg::ovm_class_pair".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_comparer.svh:34 Compile class "ovm_pkg::ovm_comparer".
 
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_component.svh:65 Compile class "ovm_pkg::ovm_component".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_component.svh:2975 Compile class "uvm_pkg::uvm_config_object_wrapper".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_registry.svh:36 Compile class "ovm_pkg::ovm_component_registry".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:248 Compile class "uvm_pkg::uvm_configure_phase".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_config.svh:25 Compile class "ovm_pkg::ovm_config_setting".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:109 Compile class "uvm_pkg::uvm_connect_phase".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_object.svh:882 Compile class "ovm_pkg::ovm_copy_map".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_driver.svh:41 Compile class "ovm_pkg::ovm_driver".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_env.svh:32 Compile class "ovm_pkg::ovm_env".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_event.svh:32 Compile class "ovm_pkg::ovm_event".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_event_callback.svh:39 Compile class "ovm_pkg::ovm_event_callback".
+
+[INFO :CP0302] builtin.sv:156 Compile class "ovm_pkg::ovm_exhaustive_sequence".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_factory.svh:73 Compile class "ovm_pkg::ovm_factory".
 
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_factory.svh:727 Compile class "ovm_pkg::ovm_factory_override".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_coreservice.svh:123 Compile class "uvm_pkg::uvm_default_coreservice_t".
-
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_factory.svh:29 Compile class "ovm_pkg::ovm_factory_queue_class".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:105 Compile class "ovm_pkg::ovm_get_export".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:966 Compile class "uvm_pkg::uvm_derived_callbacks".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:116 Compile class "ovm_pkg::ovm_get_imp".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:141 Compile class "ovm_pkg::ovm_get_peek_export".
 
-[INFO :CP0302] uvm-1.2/src/comps/uvm_driver.svh:44 Compile class "uvm_pkg::uvm_driver".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:152 Compile class "ovm_pkg::ovm_get_peek_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:142 Compile class "ovm_pkg::ovm_get_peek_port".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:106 Compile class "ovm_pkg::ovm_get_port".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_globals.svh:499 Compile class "uvm_pkg::uvm_enum_wrapper".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:699 Compile class "ovm_pkg::ovm_hier_printer_knobs".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_in_order_comparator.svh:205 Compile class "ovm_pkg::ovm_in_order_built_in_comparator".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_in_order_comparator.svh:234 Compile class "ovm_pkg::ovm_in_order_class_comparator".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_in_order_comparator.svh:67 Compile class "ovm_pkg::ovm_in_order_comparator".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_config.svh:68 Compile class "ovm_pkg::ovm_int_config_setting".
 
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:462 Compile class "ovm_pkg::ovm_line_printer".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_event.svh:34 Compile class "uvm_pkg::uvm_event_base".
-
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:237 Compile class "ovm_pkg::ovm_master_export".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:313 Compile class "uvm_pkg::uvm_extract_phase".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:267 Compile class "ovm_pkg::ovm_master_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:239 Compile class "ovm_pkg::ovm_master_port".
 
 [INFO :CP0302] ovm-2.1.2/src/methodology/ovm_monitor.svh:34 Compile class "ovm_pkg::ovm_monitor".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_factory.svh:853 Compile class "uvm_pkg::uvm_factory_override".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:99 Compile class "ovm_pkg::ovm_nonblocking_get_export".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:110 Compile class "ovm_pkg::ovm_nonblocking_get_imp".
 
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:111 Compile class "uvm_pkg::uvm_get_export".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:135 Compile class "ovm_pkg::ovm_nonblocking_get_peek_export".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:146 Compile class "ovm_pkg::ovm_nonblocking_get_peek_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:136 Compile class "ovm_pkg::ovm_nonblocking_get_peek_port".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:100 Compile class "ovm_pkg::ovm_nonblocking_get_port".
 
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:149 Compile class "uvm_pkg::uvm_get_peek_imp".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:230 Compile class "ovm_pkg::ovm_nonblocking_master_export".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:256 Compile class "ovm_pkg::ovm_nonblocking_master_imp".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:232 Compile class "ovm_pkg::ovm_nonblocking_master_port".
 
-[INFO :CP0302] uvm-1.2/src/dap/uvm_get_to_lock_dap.svh:35 Compile class "uvm_pkg::uvm_get_to_lock_dap".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:117 Compile class "ovm_pkg::ovm_nonblocking_peek_export".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:128 Compile class "ovm_pkg::ovm_nonblocking_peek_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:118 Compile class "ovm_pkg::ovm_nonblocking_peek_port".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:81 Compile class "ovm_pkg::ovm_nonblocking_put_export".
 
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:92 Compile class "ovm_pkg::ovm_nonblocking_put_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:82 Compile class "ovm_pkg::ovm_nonblocking_put_port".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:251 Compile class "ovm_pkg::ovm_nonblocking_slave_export".
+
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:289 Compile class "ovm_pkg::ovm_nonblocking_slave_imp".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_printer.svh:390 Compile class "uvm_pkg::uvm_line_printer".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:253 Compile class "ovm_pkg::ovm_nonblocking_slave_port".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:271 Compile class "ovm_pkg::ovm_nonblocking_transport_export".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:317 Compile class "ovm_pkg::ovm_nonblocking_transport_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:273 Compile class "ovm_pkg::ovm_nonblocking_transport_port".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_object.svh:53 Compile class "ovm_pkg::ovm_object".
 
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_config.svh:98 Compile class "ovm_pkg::ovm_object_config_setting".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_links.svh:59 Compile class "uvm_pkg::uvm_link_base".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_registry.svh:167 Compile class "ovm_pkg::ovm_object_registry".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_pool.svh:241 Compile class "ovm_pkg::ovm_object_string_pool".
 
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_factory.svh:684 Compile class "ovm_pkg::ovm_object_wrapper".
 
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:215 Compile class "uvm_pkg::uvm_master_export".
-
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_objection.svh:42 Compile class "ovm_pkg::ovm_objection".
 
-[INFO :CP0302] uvm-1.2/src/reg/uvm_mem.svh:40 Compile class "uvm_pkg::uvm_mem".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_object.svh:908 Compile class "ovm_pkg::ovm_options_container".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_packer.svh:45 Compile class "ovm_pkg::ovm_packer".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:123 Compile class "ovm_pkg::ovm_peek_export".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:134 Compile class "ovm_pkg::ovm_peek_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:124 Compile class "ovm_pkg::ovm_peek_port".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_phases.sv:35 Compile class "ovm_pkg::ovm_phase".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_pool.svh:31 Compile class "ovm_pkg::ovm_pool".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_port_base.svh:164 Compile class "ovm_pkg::ovm_port_base".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_port_base.svh:76 Compile class "ovm_pkg::ovm_port_component".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_port_base.svh:44 Compile class "ovm_pkg::ovm_port_component_base".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:80 Compile class "ovm_pkg::ovm_printer".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:493 Compile class "ovm_pkg::ovm_printer_knobs".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_push_driver.svh:38 Compile class "ovm_pkg::ovm_push_driver".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_push_sequencer.svh:29 Compile class "ovm_pkg::ovm_push_sequencer".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:87 Compile class "ovm_pkg::ovm_put_export".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:98 Compile class "ovm_pkg::ovm_put_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:88 Compile class "ovm_pkg::ovm_put_port".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_queue.svh:32 Compile class "ovm_pkg::ovm_queue".
+
+[INFO :CP0302] builtin.sv:44 Compile class "ovm_pkg::ovm_random_sequence".
 
 [INFO :CP0302] ovm-2.1.2/src/methodology/ovm_random_stimulus.svh:44 Compile class "ovm_pkg::ovm_random_stimulus".
 
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_recorder.svh:34 Compile class "ovm_pkg::ovm_recorder".
+
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_report_server.svh:374 Compile class "ovm_pkg::ovm_report_global_server".
 
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_report_handler.svh:50 Compile class "ovm_pkg::ovm_report_handler".
+
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_report_object.svh:78 Compile class "ovm_pkg::ovm_report_object".
 
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_mem_walk_seq.svh:197 Compile class "uvm_pkg::uvm_mem_walk_seq".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_report_server.svh:37 Compile class "ovm_pkg::ovm_report_server".
 
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:141 Compile class "uvm_pkg::uvm_nonblocking_get_peek_export".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_report_object.svh:552 Compile class "ovm_pkg::ovm_reporter".
 
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:106 Compile class "uvm_pkg::uvm_nonblocking_get_port".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_root.svh:65 Compile class "ovm_pkg::ovm_root".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_root.svh:247 Compile class "ovm_pkg::ovm_root_report_handler".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/layered_stimulus/ovm_scenario.svh:21 Compile class "ovm_pkg::ovm_scenario".
 
 [INFO :CP0302] ovm-2.1.2/src/methodology/layered_stimulus/ovm_scenario_controller.svh:27 Compile class "ovm_pkg::ovm_scenario_controller".
 
-[INFO :CP0302] ./sv/amiq_eth_pcap_util.sv:196 Compile class "amiq_eth_pkg::amiq_eth_pcap_livestream".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:123 Compile class "uvm_pkg::uvm_nonblocking_peek_export".
+[INFO :CP0302] ovm-2.1.2/src/methodology/layered_stimulus/ovm_scenario_driver.svh:26 Compile class "ovm_pkg::ovm_scenario_driver".
 
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_misc.svh:51 Compile class "ovm_pkg::ovm_scope_stack".
 
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:124 Compile class "uvm_pkg::uvm_nonblocking_peek_port".
+[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_scoreboard.svh:35 Compile class "ovm_pkg::ovm_scoreboard".
 
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_misc.sv:34 Compile class "ovm_pkg::ovm_seed_map".
 
 [INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequencer.svh:383 Compile class "ovm_pkg::ovm_seq_cons_if".
 
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:229 Compile class "uvm_pkg::uvm_nonblocking_slave_export".
+[INFO :CP0302] ovm-2.1.2/src/tlm/sqr_connections.svh:87 Compile class "ovm_pkg::ovm_seq_item_pull_export".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/sqr_connections.svh:103 Compile class "ovm_pkg::ovm_seq_item_pull_imp".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/sqr_connections.svh:50 Compile class "ovm_pkg::ovm_seq_item_pull_port".
 
 [INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequencer.svh:342 Compile class "ovm_pkg::ovm_seq_prod_if".
 
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:305 Compile class "uvm_pkg::uvm_nonblocking_transport_imp".
+[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequence.svh:31 Compile class "ovm_pkg::ovm_sequence".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequence_base.svh:31 Compile class "ovm_pkg::ovm_sequence_base".
 
 [INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequence_item.svh:37 Compile class "ovm_pkg::ovm_sequence_item".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_registry.svh:187 Compile class "uvm_pkg::uvm_object_registry".
+[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequencer.svh:36 Compile class "ovm_pkg::ovm_sequencer".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_links.svh:141 Compile class "uvm_pkg::uvm_parent_child_link".
+[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequencer_base.svh:50 Compile class "ovm_pkg::ovm_sequencer_base".
+
+[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequencer_param_base.svh:24 Compile class "ovm_pkg::ovm_sequencer_param_base".
 
 [INFO :CP0302] builtin.sv:258 Compile class "ovm_pkg::ovm_simple_sequence".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_phase.svh:134 Compile class "uvm_pkg::uvm_phase".
-
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:258 Compile class "ovm_pkg::ovm_slave_export".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:300 Compile class "ovm_pkg::ovm_slave_imp".
 
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:260 Compile class "ovm_pkg::ovm_slave_port".
+
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_object.svh:851 Compile class "ovm_pkg::ovm_status_container".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:411 Compile class "uvm_pkg::uvm_post_main_phase".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_config.svh:83 Compile class "ovm_pkg::ovm_string_config_setting".
 
 [INFO :CP0302] ovm-2.1.2/src/methodology/ovm_subscriber.svh:35 Compile class "ovm_pkg::ovm_subscriber".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:535 Compile class "uvm_pkg::uvm_post_shutdown_phase".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:356 Compile class "ovm_pkg::ovm_table_printer".
+
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:731 Compile class "ovm_pkg::ovm_table_printer_knobs".
 
 [INFO :CP0302] ovm-2.1.2/src/methodology/ovm_test.svh:61 Compile class "ovm_pkg::ovm_test".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:329 Compile class "uvm_pkg::uvm_pre_main_phase".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_objection.svh:634 Compile class "ovm_pkg::ovm_test_done_objection".
 
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_transaction.svh:37 Compile class "ovm_pkg::ovm_transaction".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:450 Compile class "uvm_pkg::uvm_pre_shutdown_phase".
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:277 Compile class "ovm_pkg::ovm_transport_export".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:323 Compile class "ovm_pkg::ovm_transport_imp".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:279 Compile class "ovm_pkg::ovm_transport_port".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_printer.svh:416 Compile class "uvm_pkg::uvm_printer_knobs".
+[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:410 Compile class "ovm_pkg::ovm_tree_printer".
 
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:772 Compile class "ovm_pkg::ovm_tree_printer_knobs".
 
 [INFO :CP0302] ovm-2.1.2/src/compatibility/urm_message.svh:186 Compile class "ovm_pkg::ovm_urm_message".
 
-[INFO :CP0302] uvm-1.2/src/seq/uvm_push_sequencer.svh:29 Compile class "uvm_pkg::uvm_push_sequencer".
+[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_message.svh:134 Compile class "ovm_pkg::ovm_urm_message_format".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_message.svh:309 Compile class "ovm_pkg::ovm_urm_override_operator".
 
 [INFO :CP0302] ovm-2.1.2/src/compatibility/urm_message.svh:221 Compile class "ovm_pkg::ovm_urm_override_request".
 
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:93 Compile class "uvm_pkg::uvm_put_export".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:94 Compile class "uvm_pkg::uvm_put_port".
+[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_message.svh:454 Compile class "ovm_pkg::ovm_urm_report_server".
 
 [INFO :CP0302] ovm-2.1.2/src/base/ovm_misc.svh:39 Compile class "ovm_pkg::ovm_void".
 
 [INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::post_new_phase".
 
-[INFO :CP0302] builtin.sv:48 Compile class "uvm_pkg::uvm_random_sequence".
-
 [INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::pre_run_phase".
 
 [INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::report_phase".
 
-[INFO :CP0302] uvm-1.2/src/base/uvm_recorder.svh:43 Compile class "uvm_pkg::uvm_recorder".
+[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:61 Compile class "ovm_pkg::run_phase".
 
 [INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequencer_base.svh:27 Compile class "ovm_pkg::seq_req_class".
 
+[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequencer_analysis_fifo.svh:22 Compile class "ovm_pkg::sequencer_analysis_fifo".
+
 [INFO :CP0302] ovm-2.1.2/src/tlm/sqr_ifs.svh:34 Compile class "ovm_pkg::sqr_if_base".
 
+[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::start_of_simulation_phase".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/tlm_fifos.svh:191 Compile class "ovm_pkg::tlm_analysis_fifo".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:58 Compile class "ovm_pkg::tlm_b_get_export".
 
+[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:52 Compile class "ovm_pkg::tlm_b_get_port".
+
 [INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:58 Compile class "ovm_pkg::tlm_b_put_export".
 
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_block.svh:38 Compile class "uvm_pkg::uvm_reg_block".
+[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:52 Compile class "ovm_pkg::tlm_b_put_port".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/tlm_fifo_base.svh:23 Compile class "ovm_pkg::tlm_event".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/tlm_fifos.svh:37 Compile class "ovm_pkg::tlm_fifo".
+
+[INFO :CP0302] ovm-2.1.2/src/tlm/tlm_fifo_base.svh:45 Compile class "ovm_pkg::tlm_fifo_base".
 
 [INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:58 Compile class "ovm_pkg::tlm_get_export".
 
@@ -964,42 +854,516 @@
 
 [INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:58 Compile class "ovm_pkg::tlm_nb_get_export".
 
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_indirect.svh:283 Compile class "uvm_pkg::uvm_reg_indirect_ftdr_seq".
-
 [INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:52 Compile class "ovm_pkg::tlm_nb_get_port".
 
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_map.svh:23 Compile class "uvm_pkg::uvm_reg_map_info".
+[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:58 Compile class "ovm_pkg::tlm_nb_put_export".
 
 [INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:52 Compile class "ovm_pkg::tlm_nb_put_port".
 
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_access_seq.svh:318 Compile class "uvm_pkg::uvm_reg_mem_access_seq".
+[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:58 Compile class "ovm_pkg::tlm_put_export".
 
 [INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:52 Compile class "ovm_pkg::tlm_put_port".
 
 [INFO :CP0302] ovm-2.1.2/src/tlm/tlm_req_rsp.svh:38 Compile class "ovm_pkg::tlm_req_rsp_channel".
 
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_mem_built_in_seq.svh:31 Compile class "uvm_pkg::uvm_reg_mem_built_in_seq".
+[INFO :CP0302] ovm-2.1.2/src/tlm/tlm_req_rsp.svh:296 Compile class "ovm_pkg::tlm_transport_channel".
 
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_cbs.svh:369 Compile class "uvm_pkg::uvm_reg_read_only_cbs".
+[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_message.svh:614 Compile class "ovm_pkg::urm_command_line_processor_c".
+
+[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:113 Compile class "ovm_pkg::urm_fifo".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_commands.sv:98 Compile class "ovmc_pkg::uvm_domain".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/ovmc_pkg.sv:71 Compile class "ovmc_pkg::uvm_tlm_generic_payload".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:269 Compile class "ovmc_pkg::uvmc_base".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:59 Compile class "ovmc_pkg::uvmc_converter".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:181 Compile class "ovmc_pkg::uvmc_default_converter".
 
 [INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_commands.sv:133 Compile class "ovmc_pkg::uvmc_drop_objection_info".
 
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm1.sv:780 Compile class "ovmc_pkg::uvmc_tlm1".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm1.sv:171 Compile class "ovmc_pkg::uvmc_tlm1_dispatch".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm1.sv:608 Compile class "ovmc_pkg::uvmc_tlm1_port_proxy".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_commands.sv:123 Compile class "ovmc_pkg::uvmc_wait_for_phase_info".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:590 Compile class "uvm_pkg::get_t".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_tr_stream.svh:31 Compile class "uvm_pkg::m_uvm_tr_stream_cfg".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_config_db.svh:38 Compile class "uvm_pkg::m_uvm_waiter".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_report_catcher.svh:32 Compile class "uvm_pkg::sev_id_struct".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_agent.svh:39 Compile class "uvm_pkg::uvm_agent".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_algorithmic_comparator.svh:68 Compile class "uvm_pkg::uvm_algorithmic_comparator".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_analysis_port.svh:127 Compile class "uvm_pkg::uvm_analysis_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_analysis_port.svh:111 Compile class "uvm_pkg::uvm_analysis_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_analysis_port.svh:56 Compile class "uvm_pkg::uvm_analysis_port".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_barrier.svh:34 Compile class "uvm_pkg::uvm_barrier".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource_specializations.svh:131 Compile class "uvm_pkg::uvm_bit_rsrc".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:99 Compile class "uvm_pkg::uvm_blocking_get_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:101 Compile class "uvm_pkg::uvm_blocking_get_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:135 Compile class "uvm_pkg::uvm_blocking_get_peek_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:137 Compile class "uvm_pkg::uvm_blocking_get_peek_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:136 Compile class "uvm_pkg::uvm_blocking_get_peek_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:100 Compile class "uvm_pkg::uvm_blocking_get_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:201 Compile class "uvm_pkg::uvm_blocking_master_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:233 Compile class "uvm_pkg::uvm_blocking_master_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:204 Compile class "uvm_pkg::uvm_blocking_master_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:117 Compile class "uvm_pkg::uvm_blocking_peek_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:119 Compile class "uvm_pkg::uvm_blocking_peek_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:118 Compile class "uvm_pkg::uvm_blocking_peek_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:80 Compile class "uvm_pkg::uvm_blocking_put_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:82 Compile class "uvm_pkg::uvm_blocking_put_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:81 Compile class "uvm_pkg::uvm_blocking_put_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:222 Compile class "uvm_pkg::uvm_blocking_slave_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:266 Compile class "uvm_pkg::uvm_blocking_slave_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:225 Compile class "uvm_pkg::uvm_blocking_slave_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:243 Compile class "uvm_pkg::uvm_blocking_transport_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:299 Compile class "uvm_pkg::uvm_blocking_transport_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:246 Compile class "uvm_pkg::uvm_blocking_transport_port".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:147 Compile class "uvm_pkg::uvm_bottom_up_visitor_adapter".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_bottomup_phase.svh:36 Compile class "uvm_pkg::uvm_bottomup_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:63 Compile class "uvm_pkg::uvm_build_phase".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:74 Compile class "uvm_pkg::uvm_built_in_clone".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:41 Compile class "uvm_pkg::uvm_built_in_comp".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:59 Compile class "uvm_pkg::uvm_built_in_converter".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_pair.svh:116 Compile class "uvm_pkg::uvm_built_in_pair".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:178 Compile class "uvm_pkg::uvm_by_level_visitor_adapter".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource_specializations.svh:154 Compile class "uvm_pkg::uvm_byte_rsrc".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:1140 Compile class "uvm_pkg::uvm_callback".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:1037 Compile class "uvm_pkg::uvm_callback_iter".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:470 Compile class "uvm_pkg::uvm_callbacks".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:87 Compile class "uvm_pkg::uvm_callbacks_base".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_links.svh:221 Compile class "uvm_pkg::uvm_cause_effect_link".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:351 Compile class "uvm_pkg::uvm_check_phase".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:134 Compile class "uvm_pkg::uvm_class_clone".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:93 Compile class "uvm_pkg::uvm_class_comp".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:113 Compile class "uvm_pkg::uvm_class_converter".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_pair.svh:37 Compile class "uvm_pkg::uvm_class_pair".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_cmdline_processor.svh:25 Compile class "uvm_pkg::uvm_cmd_line_verb".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_cmdline_processor.svh:48 Compile class "uvm_pkg::uvm_cmdline_processor".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_comparer.svh:34 Compile class "uvm_pkg::uvm_comparer".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_component.svh:60 Compile class "uvm_pkg::uvm_component".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:243 Compile class "uvm_pkg::uvm_component_name_check_visitor".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:214 Compile class "uvm_pkg::uvm_component_proxy".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_registry.svh:49 Compile class "uvm_pkg::uvm_component_registry".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_config_db.svh:65 Compile class "uvm_pkg::uvm_config_db".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_config_db.svh:360 Compile class "uvm_pkg::uvm_config_db_options".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_component.svh:2975 Compile class "uvm_pkg::uvm_config_object_wrapper".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:248 Compile class "uvm_pkg::uvm_configure_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:109 Compile class "uvm_pkg::uvm_connect_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_coreservice.svh:50 Compile class "uvm_pkg::uvm_coreservice_t".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_coreservice.svh:123 Compile class "uvm_pkg::uvm_default_coreservice_t".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_factory.svh:330 Compile class "uvm_pkg::uvm_default_factory".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_report_server.svh:244 Compile class "uvm_pkg::uvm_default_report_server".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:966 Compile class "uvm_pkg::uvm_derived_callbacks".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_domain.svh:65 Compile class "uvm_pkg::uvm_domain".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_driver.svh:44 Compile class "uvm_pkg::uvm_driver".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:151 Compile class "uvm_pkg::uvm_end_of_elaboration_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_globals.svh:499 Compile class "uvm_pkg::uvm_enum_wrapper".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_env.svh:33 Compile class "uvm_pkg::uvm_env".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_event.svh:254 Compile class "uvm_pkg::uvm_event".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_event.svh:34 Compile class "uvm_pkg::uvm_event_base".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_event_callback.svh:40 Compile class "uvm_pkg::uvm_event_callback".
+
+[INFO :CP0302] builtin.sv:161 Compile class "uvm_pkg::uvm_exhaustive_sequence".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:313 Compile class "uvm_pkg::uvm_extract_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_factory.svh:75 Compile class "uvm_pkg::uvm_factory".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_factory.svh:853 Compile class "uvm_pkg::uvm_factory_override".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_factory.svh:32 Compile class "uvm_pkg::uvm_factory_queue_class".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:431 Compile class "uvm_pkg::uvm_final_phase".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:111 Compile class "uvm_pkg::uvm_get_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:113 Compile class "uvm_pkg::uvm_get_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:147 Compile class "uvm_pkg::uvm_get_peek_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:149 Compile class "uvm_pkg::uvm_get_peek_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:148 Compile class "uvm_pkg::uvm_get_peek_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:112 Compile class "uvm_pkg::uvm_get_port".
+
+[INFO :CP0302] uvm-1.2/src/dap/uvm_get_to_lock_dap.svh:35 Compile class "uvm_pkg::uvm_get_to_lock_dap".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_model.svh:347 Compile class "uvm_pkg::uvm_hdl_path_concat".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_heartbeat.svh:53 Compile class "uvm_pkg::uvm_heartbeat".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_heartbeat.svh:290 Compile class "uvm_pkg::uvm_heartbeat_callback".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_in_order_comparator.svh:212 Compile class "uvm_pkg::uvm_in_order_built_in_comparator".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_in_order_comparator.svh:241 Compile class "uvm_pkg::uvm_in_order_class_comparator".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_in_order_comparator.svh:72 Compile class "uvm_pkg::uvm_in_order_comparator".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource_specializations.svh:52 Compile class "uvm_pkg::uvm_int_rsrc".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_printer.svh:390 Compile class "uvm_pkg::uvm_line_printer".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_links.svh:59 Compile class "uvm_pkg::uvm_link_base".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:372 Compile class "uvm_pkg::uvm_main_phase".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:215 Compile class "uvm_pkg::uvm_master_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:255 Compile class "uvm_pkg::uvm_master_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:218 Compile class "uvm_pkg::uvm_master_port".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_mem.svh:40 Compile class "uvm_pkg::uvm_mem".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_mem_access_seq.svh:195 Compile class "uvm_pkg::uvm_mem_access_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_mem_mam.svh:64 Compile class "uvm_pkg::uvm_mem_mam".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_mem_mam.svh:562 Compile class "uvm_pkg::uvm_mem_mam_cfg".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_mem_mam.svh:520 Compile class "uvm_pkg::uvm_mem_mam_policy".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_mem_mam.svh:276 Compile class "uvm_pkg::uvm_mem_region".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh:205 Compile class "uvm_pkg::uvm_mem_shared_access_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_mem_access_seq.svh:50 Compile class "uvm_pkg::uvm_mem_single_access_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_mem_walk_seq.svh:60 Compile class "uvm_pkg::uvm_mem_single_walk_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_mem_walk_seq.svh:197 Compile class "uvm_pkg::uvm_mem_walk_seq".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_monitor.svh:34 Compile class "uvm_pkg::uvm_monitor".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:105 Compile class "uvm_pkg::uvm_nonblocking_get_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:107 Compile class "uvm_pkg::uvm_nonblocking_get_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:141 Compile class "uvm_pkg::uvm_nonblocking_get_peek_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:143 Compile class "uvm_pkg::uvm_nonblocking_get_peek_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:142 Compile class "uvm_pkg::uvm_nonblocking_get_peek_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:106 Compile class "uvm_pkg::uvm_nonblocking_get_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:208 Compile class "uvm_pkg::uvm_nonblocking_master_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:244 Compile class "uvm_pkg::uvm_nonblocking_master_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:211 Compile class "uvm_pkg::uvm_nonblocking_master_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:123 Compile class "uvm_pkg::uvm_nonblocking_peek_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:125 Compile class "uvm_pkg::uvm_nonblocking_peek_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:124 Compile class "uvm_pkg::uvm_nonblocking_peek_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:87 Compile class "uvm_pkg::uvm_nonblocking_put_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:89 Compile class "uvm_pkg::uvm_nonblocking_put_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:88 Compile class "uvm_pkg::uvm_nonblocking_put_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:229 Compile class "uvm_pkg::uvm_nonblocking_slave_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:277 Compile class "uvm_pkg::uvm_nonblocking_slave_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:232 Compile class "uvm_pkg::uvm_nonblocking_slave_port".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:249 Compile class "uvm_pkg::uvm_nonblocking_transport_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:305 Compile class "uvm_pkg::uvm_nonblocking_transport_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:252 Compile class "uvm_pkg::uvm_nonblocking_transport_port".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource_specializations.svh:114 Compile class "uvm_pkg::uvm_obj_rsrc".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_object.svh:46 Compile class "uvm_pkg::uvm_object".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_registry.svh:187 Compile class "uvm_pkg::uvm_object_registry".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_pool.svh:247 Compile class "uvm_pkg::uvm_object_string_pool".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_factory.svh:810 Compile class "uvm_pkg::uvm_object_wrapper".
+
+[INFO :CP0302] uvm-1.2/src/macros/uvm_callback_defines.svh:59 Compile class "uvm_pkg::uvm_objection".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_objection.svh:1418 Compile class "uvm_pkg::uvm_objection_callback".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_objection.svh:1368 Compile class "uvm_pkg::uvm_objection_context_object".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_objection.svh:32 Compile class "uvm_pkg::uvm_objection_events".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_packer.svh:40 Compile class "uvm_pkg::uvm_packer".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_links.svh:141 Compile class "uvm_pkg::uvm_parent_child_link".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:129 Compile class "uvm_pkg::uvm_peek_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:131 Compile class "uvm_pkg::uvm_peek_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:130 Compile class "uvm_pkg::uvm_peek_port".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_phase.svh:134 Compile class "uvm_pkg::uvm_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_phase.svh:731 Compile class "uvm_pkg::uvm_phase_cb".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_phase.svh:675 Compile class "uvm_pkg::uvm_phase_state_change".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_pool.svh:35 Compile class "uvm_pkg::uvm_pool".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_port_base.svh:196 Compile class "uvm_pkg::uvm_port_base".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_port_base.svh:109 Compile class "uvm_pkg::uvm_port_component".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_port_base.svh:51 Compile class "uvm_pkg::uvm_port_component_base".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:290 Compile class "uvm_pkg::uvm_post_configure_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:411 Compile class "uvm_pkg::uvm_post_main_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:163 Compile class "uvm_pkg::uvm_post_reset_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:535 Compile class "uvm_pkg::uvm_post_shutdown_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:206 Compile class "uvm_pkg::uvm_pre_configure_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:329 Compile class "uvm_pkg::uvm_pre_main_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:74 Compile class "uvm_pkg::uvm_pre_reset_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:450 Compile class "uvm_pkg::uvm_pre_shutdown_phase".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_predictor.svh:34 Compile class "uvm_pkg::uvm_predict_s".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_printer.svh:74 Compile class "uvm_pkg::uvm_printer".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_printer.svh:416 Compile class "uvm_pkg::uvm_printer_knobs".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_push_driver.svh:39 Compile class "uvm_pkg::uvm_push_driver".
+
+[INFO :CP0302] uvm-1.2/src/seq/uvm_push_sequencer.svh:29 Compile class "uvm_pkg::uvm_push_sequencer".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:93 Compile class "uvm_pkg::uvm_put_export".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:95 Compile class "uvm_pkg::uvm_put_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:94 Compile class "uvm_pkg::uvm_put_port".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_queue.svh:34 Compile class "uvm_pkg::uvm_queue".
+
+[INFO :CP0302] builtin.sv:48 Compile class "uvm_pkg::uvm_random_sequence".
+
+[INFO :CP0302] uvm-1.2/src/comps/uvm_random_stimulus.svh:45 Compile class "uvm_pkg::uvm_random_stimulus".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_recorder.svh:43 Compile class "uvm_pkg::uvm_recorder".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg.svh:37 Compile class "uvm_pkg::uvm_reg".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_access_seq.svh:193 Compile class "uvm_pkg::uvm_reg_access_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_adapter.svh:39 Compile class "uvm_pkg::uvm_reg_adapter".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_backdoor.svh:37 Compile class "uvm_pkg::uvm_reg_backdoor".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_bit_bash_seq.svh:203 Compile class "uvm_pkg::uvm_reg_bit_bash_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_block.svh:38 Compile class "uvm_pkg::uvm_reg_block".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_cbs.svh:44 Compile class "uvm_pkg::uvm_reg_cbs".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_field.svh:39 Compile class "uvm_pkg::uvm_reg_field".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_fifo.svh:35 Compile class "uvm_pkg::uvm_reg_fifo".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_file.svh:34 Compile class "uvm_pkg::uvm_reg_file".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_sequence.svh:518 Compile class "uvm_pkg::uvm_reg_frontdoor".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_hw_reset_seq.svh:48 Compile class "uvm_pkg::uvm_reg_hw_reset_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_indirect.svh:39 Compile class "uvm_pkg::uvm_reg_indirect_data".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_indirect.svh:283 Compile class "uvm_pkg::uvm_reg_indirect_ftdr_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_item.svh:41 Compile class "uvm_pkg::uvm_reg_item".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_map.svh:68 Compile class "uvm_pkg::uvm_reg_map".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_map.svh:23 Compile class "uvm_pkg::uvm_reg_map_info".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_access_seq.svh:318 Compile class "uvm_pkg::uvm_reg_mem_access_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_mem_built_in_seq.svh:31 Compile class "uvm_pkg::uvm_reg_mem_built_in_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh:48 Compile class "uvm_pkg::uvm_reg_mem_hdl_paths_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh:353 Compile class "uvm_pkg::uvm_reg_mem_shared_access_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_predictor.svh:54 Compile class "uvm_pkg::uvm_reg_predictor".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_cbs.svh:369 Compile class "uvm_pkg::uvm_reg_read_only_cbs".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_sequence.svh:57 Compile class "uvm_pkg::uvm_reg_sequence".
+
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh:57 Compile class "uvm_pkg::uvm_reg_shared_access_seq".
+
 [INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_access_seq.svh:62 Compile class "uvm_pkg::uvm_reg_single_access_seq".
 
+[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_bit_bash_seq.svh:54 Compile class "uvm_pkg::uvm_reg_single_bit_bash_seq".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_adapter.svh:170 Compile class "uvm_pkg::uvm_reg_tlm_adapter".
+
 [INFO :CP0302] uvm-1.2/src/reg/uvm_reg_map.svh:38 Compile class "uvm_pkg::uvm_reg_transaction_order_policy".
 
 [INFO :CP0302] uvm-1.2/src/reg/uvm_reg_cbs.svh:455 Compile class "uvm_pkg::uvm_reg_write_only_cbs".
 
-[INFO :CP0302] ./sv/amiq_eth_pcap_util.sv:133 Compile class "amiq_eth_pkg::amiq_eth_pcap_util".
+[INFO :CP0302] uvm-1.2/src/base/uvm_links.svh:300 Compile class "uvm_pkg::uvm_related_link".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_report_catcher.svh:107 Compile class "uvm_pkg::uvm_report_catcher".
 
 [INFO :CP0302] uvm-1.2/src/base/uvm_report_handler.svh:53 Compile class "uvm_pkg::uvm_report_handler".
 
+[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:475 Compile class "uvm_pkg::uvm_report_message".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:38 Compile class "uvm_pkg::uvm_report_message_element_base".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:284 Compile class "uvm_pkg::uvm_report_message_element_container".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:108 Compile class "uvm_pkg::uvm_report_message_int_element".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:228 Compile class "uvm_pkg::uvm_report_message_object_element".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:174 Compile class "uvm_pkg::uvm_report_message_string_element".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_report_object.svh:79 Compile class "uvm_pkg::uvm_report_object".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:390 Compile class "uvm_pkg::uvm_report_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_report_server.svh:46 Compile class "uvm_pkg::uvm_report_server".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:122 Compile class "uvm_pkg::uvm_reset_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:1369 Compile class "uvm_pkg::uvm_resource".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:199 Compile class "uvm_pkg::uvm_resource_base".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource_db.svh:54 Compile class "uvm_pkg::uvm_resource_db".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource_db.svh:350 Compile class "uvm_pkg::uvm_resource_db_options".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:158 Compile class "uvm_pkg::uvm_resource_options".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:658 Compile class "uvm_pkg::uvm_resource_pool".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:111 Compile class "uvm_pkg::uvm_resource_types".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_root.svh:71 Compile class "uvm_pkg::uvm_root".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:267 Compile class "uvm_pkg::uvm_run_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_misc.svh:63 Compile class "uvm_pkg::uvm_scope_stack".
+
 [INFO :CP0302] uvm-1.2/src/comps/uvm_scoreboard.svh:36 Compile class "uvm_pkg::uvm_scoreboard".
 
+[INFO :CP0302] uvm-1.2/src/base/uvm_misc.svh:318 Compile class "uvm_pkg::uvm_seed_map".
+
 [INFO :CP0302] uvm-1.2/src/tlm1/uvm_sqr_connections.svh:62 Compile class "uvm_pkg::uvm_seq_item_pull_export".
 
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_sqr_connections.svh:78 Compile class "uvm_pkg::uvm_seq_item_pull_imp".
+
 [INFO :CP0302] uvm-1.2/src/tlm1/uvm_sqr_connections.svh:42 Compile class "uvm_pkg::uvm_seq_item_pull_port".
 
+[INFO :CP0302] uvm-1.2/src/seq/uvm_sequence.svh:33 Compile class "uvm_pkg::uvm_sequence".
+
+[INFO :CP0302] uvm-1.2/src/seq/uvm_sequence_base.svh:134 Compile class "uvm_pkg::uvm_sequence_base".
+
+[INFO :CP0302] uvm-1.2/src/seq/uvm_sequence_item.svh:37 Compile class "uvm_pkg::uvm_sequence_item".
+
 [INFO :CP0302] uvm-1.2/src/seq/uvm_sequence_library.svh:64 Compile class "uvm_pkg::uvm_sequence_library".
 
 [INFO :CP0302] uvm-1.2/src/macros/uvm_object_defines.svh:245 Compile class "uvm_pkg::uvm_sequence_library_cfg".
@@ -1014,264 +1378,134 @@
 
 [INFO :CP0302] uvm-1.2/src/seq/uvm_sequencer_base.svh:41 Compile class "uvm_pkg::uvm_sequencer_base".
 
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm1.sv:780 Compile class "ovmc_pkg::uvmc_tlm1".
+[INFO :CP0302] uvm-1.2/src/seq/uvm_sequencer_param_base.svh:31 Compile class "uvm_pkg::uvm_sequencer_param_base".
+
+[INFO :CP0302] uvm-1.2/src/dap/uvm_set_before_get_dap.svh:68 Compile class "uvm_pkg::uvm_set_before_get_dap".
+
+[INFO :CP0302] uvm-1.2/src/dap/uvm_set_get_dap_base.svh:32 Compile class "uvm_pkg::uvm_set_get_dap_base".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:492 Compile class "uvm_pkg::uvm_shutdown_phase".
+
+[INFO :CP0302] uvm-1.2/src/dap/uvm_simple_lock_dap.svh:35 Compile class "uvm_pkg::uvm_simple_lock_dap".
 
 [INFO :CP0302] builtin.sv:265 Compile class "uvm_pkg::uvm_simple_sequence".
 
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:236 Compile class "uvm_pkg::uvm_slave_export".
+
 [INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:288 Compile class "uvm_pkg::uvm_slave_imp".
 
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:239 Compile class "uvm_pkg::uvm_slave_port".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_spell_chkr.svh:28 Compile class "uvm_pkg::uvm_spell_chkr".
+
 [INFO :CP0302] uvm-1.2/src/tlm1/uvm_sqr_ifs.svh:36 Compile class "uvm_pkg::uvm_sqr_if_base".
 
+[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:198 Compile class "uvm_pkg::uvm_start_of_simulation_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_misc.svh:206 Compile class "uvm_pkg::uvm_status_container".
+
 [INFO :CP0302] uvm-1.2/src/base/uvm_resource_specializations.svh:93 Compile class "uvm_pkg::uvm_string_rsrc".
 
 [INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:73 Compile class "uvm_pkg::uvm_structure_proxy".
 
 [INFO :CP0302] uvm-1.2/src/comps/uvm_subscriber.svh:36 Compile class "uvm_pkg::uvm_subscriber".
 
+[INFO :CP0302] uvm-1.2/src/base/uvm_printer.svh:309 Compile class "uvm_pkg::uvm_table_printer".
+
 [INFO :CP0302] uvm-1.2/src/base/uvm_task_phase.svh:58 Compile class "uvm_pkg::uvm_task_phase".
 
+[INFO :CP0302] uvm-1.2/src/comps/uvm_test.svh:62 Compile class "uvm_pkg::uvm_test".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_objection.svh:1119 Compile class "uvm_pkg::uvm_test_done_objection".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_recorder.svh:728 Compile class "uvm_pkg::uvm_text_recorder".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_tr_database.svh:295 Compile class "uvm_pkg::uvm_text_tr_database".
+
 [INFO :CP0302] uvm-1.2/src/base/uvm_tr_stream.svh:510 Compile class "uvm_pkg::uvm_text_tr_stream".
 
 [INFO :CP0302] uvm-1.2/src/tlm1/uvm_tlm_fifos.svh:200 Compile class "uvm_pkg::uvm_tlm_analysis_fifo".
 
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:56 Compile class "uvm_pkg::uvm_tlm_b_initiator_socket".
 
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:66 Compile class "uvm_pkg::uvm_tlm_b_initiator_socket_base".
+
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:271 Compile class "uvm_pkg::uvm_tlm_b_passthrough_initiator_socket".
+
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:174 Compile class "uvm_pkg::uvm_tlm_b_passthrough_initiator_socket_base".
 
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:308 Compile class "uvm_pkg::uvm_tlm_b_passthrough_target_socket".
+
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:188 Compile class "uvm_pkg::uvm_tlm_b_passthrough_target_socket_base".
+
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:104 Compile class "uvm_pkg::uvm_tlm_b_target_socket".
+
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:47 Compile class "uvm_pkg::uvm_tlm_b_target_socket_base".
 
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_exports.svh:33 Compile class "uvm_pkg::uvm_tlm_b_transport_export".
 
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_imps.svh:135 Compile class "uvm_pkg::uvm_tlm_b_transport_imp".
+
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_ports.svh:34 Compile class "uvm_pkg::uvm_tlm_b_transport_port".
 
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_generic_payload.svh:103 Compile class "uvm_pkg::uvm_tlm_generic_payload".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_commands.sv:123 Compile class "ovmc_pkg::uvmc_wait_for_phase_info".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_commands.sv:117 Compile class "uvmc_pkg::uvm_domain".
-
-[INFO :CP0302] ./sv/amiq_eth_pcap_util.sv:97 Compile class "amiq_eth_pkg::amiq_eth_pcaprec_hdr_s".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:181 Compile class "uvmc_pkg::uvmc_default_converter".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:590 Compile class "uvm_pkg::get_t".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_tr_stream.svh:31 Compile class "uvm_pkg::m_uvm_tr_stream_cfg".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_config_db.svh:38 Compile class "uvm_pkg::m_uvm_waiter".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_commands.sv:152 Compile class "uvmc_pkg::uvmc_drop_objection_info".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_report_catcher.svh:32 Compile class "uvm_pkg::sev_id_struct".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_agent.svh:39 Compile class "uvm_pkg::uvm_agent".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_analysis_port.svh:56 Compile class "uvm_pkg::uvm_analysis_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:99 Compile class "uvm_pkg::uvm_blocking_get_export".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:101 Compile class "uvm_pkg::uvm_blocking_get_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:135 Compile class "uvm_pkg::uvm_blocking_get_peek_export".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:136 Compile class "uvm_pkg::uvm_blocking_get_peek_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:233 Compile class "uvm_pkg::uvm_blocking_master_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:118 Compile class "uvm_pkg::uvm_blocking_peek_port".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:704 Compile class "uvmc_pkg::uvmc_tlm".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:81 Compile class "uvm_pkg::uvm_blocking_put_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:225 Compile class "uvm_pkg::uvm_blocking_slave_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:246 Compile class "uvm_pkg::uvm_blocking_transport_port".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:74 Compile class "uvm_pkg::uvm_built_in_clone".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_policies.svh:41 Compile class "uvm_pkg::uvm_built_in_comp".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:178 Compile class "uvm_pkg::uvm_by_level_visitor_adapter".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:1140 Compile class "uvm_pkg::uvm_callback".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:87 Compile class "uvm_pkg::uvm_callbacks_base".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_cmdline_processor.svh:25 Compile class "uvm_pkg::uvm_cmd_line_verb".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_cmdline_processor.svh:48 Compile class "uvm_pkg::uvm_cmdline_processor".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:583 Compile class "uvmc_pkg::uvmc_tlm_b_initiator_comp".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:243 Compile class "uvm_pkg::uvm_component_name_check_visitor".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:214 Compile class "uvm_pkg::uvm_component_proxy".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_registry.svh:49 Compile class "uvm_pkg::uvm_component_registry".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_config_db.svh:360 Compile class "uvm_pkg::uvm_config_db_options".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_coreservice.svh:50 Compile class "uvm_pkg::uvm_coreservice_t".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_factory.svh:330 Compile class "uvm_pkg::uvm_default_factory".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_domain.svh:65 Compile class "uvm_pkg::uvm_domain".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_event_callback.svh:40 Compile class "uvm_pkg::uvm_event_callback".
-
-[INFO :CP0302] builtin.sv:161 Compile class "uvm_pkg::uvm_exhaustive_sequence".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:509 Compile class "uvmc_pkg::uvmc_tlm_b_target_comp".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_factory.svh:32 Compile class "uvm_pkg::uvm_factory_queue_class".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:431 Compile class "uvm_pkg::uvm_final_phase".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:147 Compile class "uvm_pkg::uvm_get_peek_export".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_consumer".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:112 Compile class "uvm_pkg::uvm_get_port".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_heartbeat.svh:53 Compile class "uvm_pkg::uvm_heartbeat".
-
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_mem_access_seq.svh:195 Compile class "uvm_pkg::uvm_mem_access_seq".
-
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_mem_access_seq.svh:50 Compile class "uvm_pkg::uvm_mem_single_access_seq".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:211 Compile class "uvm_pkg::uvm_nonblocking_master_port".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:608 Compile class "uvmc_pkg::uvmc_tlm_nb_initiator_comp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:87 Compile class "uvm_pkg::uvm_nonblocking_put_export".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:277 Compile class "uvm_pkg::uvm_nonblocking_slave_imp".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_pool.svh:247 Compile class "uvm_pkg::uvm_object_string_pool".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_objection.svh:1418 Compile class "uvm_pkg::uvm_objection_callback".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_ethernet_configuration_testing_packets".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_objection.svh:1368 Compile class "uvm_pkg::uvm_objection_context_object".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_objection.svh:32 Compile class "uvm_pkg::uvm_objection_events".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_packer.svh:40 Compile class "uvm_pkg::uvm_packer".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_pool.svh:35 Compile class "uvm_pkg::uvm_pool".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_port_base.svh:109 Compile class "uvm_pkg::uvm_port_component".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_commands.sv:142 Compile class "uvmc_pkg::uvmc_wait_for_phase_info".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_port_base.svh:51 Compile class "uvm_pkg::uvm_port_component_base".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:290 Compile class "uvm_pkg::uvm_post_configure_phase".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:163 Compile class "uvm_pkg::uvm_post_reset_phase".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:206 Compile class "uvm_pkg::uvm_pre_configure_phase".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:74 Compile class "uvm_pkg::uvm_pre_reset_phase".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_hsr_standard_packets".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_predictor.svh:34 Compile class "uvm_pkg::uvm_predict_s".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_printer.svh:74 Compile class "uvm_pkg::uvm_printer".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_push_driver.svh:39 Compile class "uvm_pkg::uvm_push_driver".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:95 Compile class "uvm_pkg::uvm_put_imp".
-
-[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_queue.svh:34 Compile class "uvm_pkg::uvm_queue".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_random_stimulus.svh:45 Compile class "uvm_pkg::uvm_random_stimulus".
-
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_access_seq.svh:193 Compile class "uvm_pkg::uvm_reg_access_seq".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_adapter.svh:39 Compile class "uvm_pkg::uvm_reg_adapter".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_backdoor.svh:37 Compile class "uvm_pkg::uvm_reg_backdoor".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_field.svh:39 Compile class "uvm_pkg::uvm_reg_field".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_magic_packets".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_map.svh:68 Compile class "uvm_pkg::uvm_reg_map".
-
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh:353 Compile class "uvm_pkg::uvm_reg_mem_shared_access_seq".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_sequence.svh:57 Compile class "uvm_pkg::uvm_reg_sequence".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_links.svh:300 Compile class "uvm_pkg::uvm_related_link".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:475 Compile class "uvm_pkg::uvm_report_message".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:228 Compile class "uvm_pkg::uvm_report_message_object_element".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_report_object.svh:79 Compile class "uvm_pkg::uvm_report_object".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource_db.svh:54 Compile class "uvm_pkg::uvm_resource_db".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_misc.svh:318 Compile class "uvm_pkg::uvm_seed_map".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 Compile class "amiq_eth_ve_pkg::amiq_eth_ve_test_pfc_pause_packets".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_sqr_connections.svh:78 Compile class "uvm_pkg::uvm_seq_item_pull_imp".
-
-[INFO :CP0302] uvm-1.2/src/seq/uvm_sequence.svh:33 Compile class "uvm_pkg::uvm_sequence".
-
-[INFO :CP0302] uvm-1.2/src/seq/uvm_sequence_item.svh:37 Compile class "uvm_pkg::uvm_sequence_item".
-
-[INFO :CP0302] uvm-1.2/src/dap/uvm_set_before_get_dap.svh:68 Compile class "uvm_pkg::uvm_set_before_get_dap".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:236 Compile class "uvm_pkg::uvm_slave_export".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:239 Compile class "uvm_pkg::uvm_slave_port".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:198 Compile class "uvm_pkg::uvm_start_of_simulation_phase".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_misc.svh:206 Compile class "uvm_pkg::uvm_status_container".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_printer.svh:309 Compile class "uvm_pkg::uvm_table_printer".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_test.svh:62 Compile class "uvm_pkg::uvm_test".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_objection.svh:1119 Compile class "uvm_pkg::uvm_test_done_objection".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:66 Compile class "uvm_pkg::uvm_tlm_b_initiator_socket_base".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:308 Compile class "uvm_pkg::uvm_tlm_b_passthrough_target_socket".
-
-[INFO :CP0302] ../../UVM/uvm-1.2/src/macros/uvm_tlm_defines.svh:493 Compile class "amiq_eth_ve_pkg::uvm_analysis_imp_from_consumer".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:104 Compile class "uvm_pkg::uvm_tlm_b_target_socket".
-
 [INFO :CP0302] uvm-1.2/src/tlm1/uvm_tlm_fifo_base.svh:24 Compile class "uvm_pkg::uvm_tlm_event".
 
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_generic_payload.svh:1013 Compile class "uvm_pkg::uvm_tlm_extension".
 
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_generic_payload.svh:948 Compile class "uvm_pkg::uvm_tlm_extension_base".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_tlm_fifos.svh:46 Compile class "uvm_pkg::uvm_tlm_fifo".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_tlm_fifo_base.svh:46 Compile class "uvm_pkg::uvm_tlm_fifo_base".
+
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_generic_payload.svh:103 Compile class "uvm_pkg::uvm_tlm_generic_payload".
+
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_ifs.svh:79 Compile class "uvm_pkg::uvm_tlm_if".
 
 [INFO :CP0302] uvm-1.2/src/tlm1/uvm_tlm_ifs.svh:46 Compile class "uvm_pkg::uvm_tlm_if_base".
 
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:155 Compile class "uvm_pkg::uvm_tlm_nb_initiator_socket".
+
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:101 Compile class "uvm_pkg::uvm_tlm_nb_initiator_socket_base".
 
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:345 Compile class "uvm_pkg::uvm_tlm_nb_passthrough_initiator_socket".
 
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:124 Compile class "uvm_pkg::uvm_tlm_nb_passthrough_initiator_socket_base".
+
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:395 Compile class "uvm_pkg::uvm_tlm_nb_passthrough_target_socket".
+
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:149 Compile class "uvm_pkg::uvm_tlm_nb_passthrough_target_socket_base".
 
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:223 Compile class "uvm_pkg::uvm_tlm_nb_target_socket".
+
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:79 Compile class "uvm_pkg::uvm_tlm_nb_target_socket_base".
+
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_exports.svh:59 Compile class "uvm_pkg::uvm_tlm_nb_transport_bw_export".
 
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_imps.svh:197 Compile class "uvm_pkg::uvm_tlm_nb_transport_bw_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_ports.svh:67 Compile class "uvm_pkg::uvm_tlm_nb_transport_bw_port".
+
 [INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_exports.svh:46 Compile class "uvm_pkg::uvm_tlm_nb_transport_fw_export".
 
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_imps.svh:181 Compile class "uvm_pkg::uvm_tlm_nb_transport_fw_imp".
+
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_ports.svh:51 Compile class "uvm_pkg::uvm_tlm_nb_transport_fw_port".
+
 [INFO :CP0302] uvm-1.2/src/tlm1/uvm_tlm_req_rsp.svh:46 Compile class "uvm_pkg::uvm_tlm_req_rsp_channel".
 
+[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_time.svh:31 Compile class "uvm_pkg::uvm_tlm_time".
+
+[INFO :CP0302] uvm-1.2/src/tlm1/uvm_tlm_req_rsp.svh:304 Compile class "uvm_pkg::uvm_tlm_transport_channel".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:115 Compile class "uvm_pkg::uvm_top_down_visitor_adapter".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_topdown_phase.svh:35 Compile class "uvm_pkg::uvm_topdown_phase".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_tr_database.svh:52 Compile class "uvm_pkg::uvm_tr_database".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_tr_stream.svh:54 Compile class "uvm_pkg::uvm_tr_stream".
+
 [INFO :CP0302] uvm-1.2/src/base/uvm_transaction.svh:124 Compile class "uvm_pkg::uvm_transaction".
 
 [INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:255 Compile class "uvm_pkg::uvm_transport_export".
@@ -1280,339 +1514,75 @@
 
 [INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:258 Compile class "uvm_pkg::uvm_transport_port".
 
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:287 Compile class "ovm_pkg::analysis_fifo".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:61 Compile class "uvm_pkg::uvm_typeid".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:301 Compile class "ovm_pkg::avm_analysis_imp".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:47 Compile class "uvm_pkg::uvm_typeid_base".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_blocking_get_imp".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_misc.svh:635 Compile class "uvm_pkg::uvm_utils".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_blocking_get_peek_port".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_misc.svh:39 Compile class "uvm_pkg::uvm_void".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:92 Compile class "ovm_pkg::avm_blocking_master_imp".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_vreg.svh:57 Compile class "uvm_pkg::uvm_vreg".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_blocking_peek_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_blocking_put_imp".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_vreg_field.svh:379 Compile class "uvm_pkg::uvm_vreg_field_cbs".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:92 Compile class "ovm_pkg::avm_blocking_slave_imp".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:59 Compile class "uvmc_pkg::uvmc_converter".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:175 Compile class "ovm_pkg::avm_class_clone".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:175 Compile class "ovm_pkg::avm_class_converter".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_get_export".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_get_peek_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:224 Compile class "ovm_pkg::avm_in_order_built_in_comparator".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:201 Compile class "ovm_pkg::avm_in_order_comparator".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:82 Compile class "ovm_pkg::avm_master_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:75 Compile class "ovm_pkg::avm_nonblocking_get_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_nonblocking_get_peek_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:92 Compile class "ovm_pkg::avm_nonblocking_master_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_nonblocking_put_export".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm1.sv:458 Compile class "uvmc_pkg::uvmc_tlm1_dispatch".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:87 Compile class "ovm_pkg::avm_nonblocking_slave_export".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:70 Compile class "ovm_pkg::avm_peek_export".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:308 Compile class "ovm_pkg::avm_port_base".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:65 Compile class "ovm_pkg::avm_put_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:92 Compile class "ovm_pkg::avm_slave_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/avm_compatibility.svh:132 Compile class "ovm_pkg::avm_transport_port".
-
-[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::configure_phase".
-
-[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::export_connections_phase".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_algorithmic_comparator.svh:65 Compile class "ovm_pkg::ovm_algorithmic_comparator".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:104 Compile class "ovm_pkg::ovm_blocking_get_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:130 Compile class "ovm_pkg::ovm_blocking_get_peek_port".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:225 Compile class "ovm_pkg::ovm_blocking_master_port".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:122 Compile class "ovm_pkg::ovm_blocking_peek_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:85 Compile class "ovm_pkg::ovm_blocking_put_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:246 Compile class "ovm_pkg::ovm_blocking_slave_port".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:78 Compile class "ovm_pkg::ovm_built_in_clone".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:41 Compile class "ovm_pkg::ovm_built_in_comp".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_policies.svh:59 Compile class "ovm_pkg::ovm_built_in_converter".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_callback.svh:261 Compile class "ovm_pkg::ovm_callback".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_comparer.svh:34 Compile class "ovm_pkg::ovm_comparer".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_factory.svh:73 Compile class "ovm_pkg::ovm_factory".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:142 Compile class "ovm_pkg::ovm_get_peek_port".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_in_order_comparator.svh:234 Compile class "ovm_pkg::ovm_in_order_class_comparator".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:267 Compile class "ovm_pkg::ovm_master_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:146 Compile class "ovm_pkg::ovm_nonblocking_get_peek_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:256 Compile class "ovm_pkg::ovm_nonblocking_master_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:82 Compile class "ovm_pkg::ovm_nonblocking_put_port".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:271 Compile class "ovm_pkg::ovm_nonblocking_transport_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:273 Compile class "ovm_pkg::ovm_nonblocking_transport_port".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_registry.svh:167 Compile class "ovm_pkg::ovm_object_registry".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:123 Compile class "ovm_pkg::ovm_peek_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:134 Compile class "ovm_pkg::ovm_peek_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_ports.svh:124 Compile class "ovm_pkg::ovm_peek_port".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_port_base.svh:164 Compile class "ovm_pkg::ovm_port_base".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_report_object.svh:552 Compile class "ovm_pkg::ovm_reporter".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_root.svh:65 Compile class "ovm_pkg::ovm_root".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_root.svh:247 Compile class "ovm_pkg::ovm_root_report_handler".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/layered_stimulus/ovm_scenario.svh:21 Compile class "ovm_pkg::ovm_scenario".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/layered_stimulus/ovm_scenario_driver.svh:26 Compile class "ovm_pkg::ovm_scenario_driver".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/ovm_scoreboard.svh:35 Compile class "ovm_pkg::ovm_scoreboard".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/sqr_connections.svh:87 Compile class "ovm_pkg::ovm_seq_item_pull_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/sqr_connections.svh:50 Compile class "ovm_pkg::ovm_seq_item_pull_port".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequencer.svh:36 Compile class "ovm_pkg::ovm_sequencer".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequencer_param_base.svh:24 Compile class "ovm_pkg::ovm_sequencer_param_base".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:356 Compile class "ovm_pkg::ovm_table_printer".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_exports.svh:277 Compile class "ovm_pkg::ovm_transport_export".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/ovm_imps.svh:323 Compile class "ovm_pkg::ovm_transport_imp".
-
-[INFO :CP0302] ovm-2.1.2/src/base/ovm_printer.svh:410 Compile class "ovm_pkg::ovm_tree_printer".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_message.svh:134 Compile class "ovm_pkg::ovm_urm_message_format".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_message.svh:454 Compile class "ovm_pkg::ovm_urm_report_server".
-
-[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:61 Compile class "ovm_pkg::run_phase".
-
-[INFO :CP0302] ovm-2.1.2/src/methodology/sequences/ovm_sequencer_analysis_fifo.svh:22 Compile class "ovm_pkg::sequencer_analysis_fifo".
-
-[INFO :CP0302] ovm-2.1.2/src/macros/ovm_phase_defines.svh:38 Compile class "ovm_pkg::start_of_simulation_phase".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:52 Compile class "ovm_pkg::tlm_b_get_port".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:52 Compile class "ovm_pkg::tlm_b_put_port".
-
-[INFO :CP0302] ovm-2.1.2/src/tlm/tlm_fifo_base.svh:45 Compile class "ovm_pkg::tlm_fifo_base".
-
-[INFO :CP0302] ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh:113 Compile class "ovm_pkg::urm_fifo".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_commands.sv:98 Compile class "ovmc_pkg::uvm_domain".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/ovmc_pkg.sv:71 Compile class "ovmc_pkg::uvm_tlm_generic_payload".
-
-[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:269 Compile class "ovmc_pkg::uvmc_base".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_algorithmic_comparator.svh:68 Compile class "uvm_pkg::uvm_algorithmic_comparator".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_analysis_port.svh:127 Compile class "uvm_pkg::uvm_analysis_export".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource_specializations.svh:131 Compile class "uvm_pkg::uvm_bit_rsrc".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:137 Compile class "uvm_pkg::uvm_blocking_get_peek_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:100 Compile class "uvm_pkg::uvm_blocking_get_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:201 Compile class "uvm_pkg::uvm_blocking_master_export".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:117 Compile class "uvm_pkg::uvm_blocking_peek_export".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:80 Compile class "uvm_pkg::uvm_blocking_put_export".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:222 Compile class "uvm_pkg::uvm_blocking_slave_export".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_exports.svh:243 Compile class "uvm_pkg::uvm_blocking_transport_export".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:147 Compile class "uvm_pkg::uvm_bottom_up_visitor_adapter".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_pair.svh:116 Compile class "uvm_pkg::uvm_built_in_pair".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_links.svh:221 Compile class "uvm_pkg::uvm_cause_effect_link".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_comparer.svh:34 Compile class "uvm_pkg::uvm_comparer".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_config_db.svh:65 Compile class "uvm_pkg::uvm_config_db".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:151 Compile class "uvm_pkg::uvm_end_of_elaboration_phase".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_env.svh:33 Compile class "uvm_pkg::uvm_env".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_event.svh:254 Compile class "uvm_pkg::uvm_event".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_factory.svh:75 Compile class "uvm_pkg::uvm_factory".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:113 Compile class "uvm_pkg::uvm_get_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:148 Compile class "uvm_pkg::uvm_get_peek_port".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_model.svh:347 Compile class "uvm_pkg::uvm_hdl_path_concat".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_heartbeat.svh:290 Compile class "uvm_pkg::uvm_heartbeat_callback".
-
-[INFO :CP0302] uvm-1.2/src/comps/uvm_in_order_comparator.svh:241 Compile class "uvm_pkg::uvm_in_order_class_comparator".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource_specializations.svh:52 Compile class "uvm_pkg::uvm_int_rsrc".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_runtime_phases.svh:372 Compile class "uvm_pkg::uvm_main_phase".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:255 Compile class "uvm_pkg::uvm_master_imp".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_mem_mam.svh:64 Compile class "uvm_pkg::uvm_mem_mam".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_mem_mam.svh:276 Compile class "uvm_pkg::uvm_mem_region".
-
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_mem_walk_seq.svh:60 Compile class "uvm_pkg::uvm_mem_single_walk_seq".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:142 Compile class "uvm_pkg::uvm_nonblocking_get_peek_port".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:244 Compile class "uvm_pkg::uvm_nonblocking_master_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_imps.svh:89 Compile class "uvm_pkg::uvm_nonblocking_put_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_ports.svh:232 Compile class "uvm_pkg::uvm_nonblocking_slave_port".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource_specializations.svh:114 Compile class "uvm_pkg::uvm_obj_rsrc".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_factory.svh:810 Compile class "uvm_pkg::uvm_object_wrapper".
-
-[INFO :CP0302] uvm-1.2/src/macros/uvm_callback_defines.svh:59 Compile class "uvm_pkg::uvm_objection".
-
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_bit_bash_seq.svh:203 Compile class "uvm_pkg::uvm_reg_bit_bash_seq".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_file.svh:34 Compile class "uvm_pkg::uvm_reg_file".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_sequence.svh:518 Compile class "uvm_pkg::uvm_reg_frontdoor".
-
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_hw_reset_seq.svh:48 Compile class "uvm_pkg::uvm_reg_hw_reset_seq".
-
-[INFO :CP0302] uvm-1.2/src/reg/uvm_reg_item.svh:41 Compile class "uvm_pkg::uvm_reg_item".
-
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh:48 Compile class "uvm_pkg::uvm_reg_mem_hdl_paths_seq".
-
-[INFO :CP0302] uvm-1.2/src/reg/sequences/uvm_reg_bit_bash_seq.svh:54 Compile class "uvm_pkg::uvm_reg_single_bit_bash_seq".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:38 Compile class "uvm_pkg::uvm_report_message_element_base".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:284 Compile class "uvm_pkg::uvm_report_message_element_container".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:108 Compile class "uvm_pkg::uvm_report_message_int_element".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_report_message.svh:174 Compile class "uvm_pkg::uvm_report_message_string_element".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:390 Compile class "uvm_pkg::uvm_report_phase".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_report_server.svh:46 Compile class "uvm_pkg::uvm_report_server".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:199 Compile class "uvm_pkg::uvm_resource_base".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource_db.svh:350 Compile class "uvm_pkg::uvm_resource_db_options".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:158 Compile class "uvm_pkg::uvm_resource_options".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_resource.svh:111 Compile class "uvm_pkg::uvm_resource_types".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_root.svh:71 Compile class "uvm_pkg::uvm_root".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_common_phases.svh:267 Compile class "uvm_pkg::uvm_run_phase".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_misc.svh:63 Compile class "uvm_pkg::uvm_scope_stack".
-
-[INFO :CP0302] uvm-1.2/src/seq/uvm_sequence_base.svh:134 Compile class "uvm_pkg::uvm_sequence_base".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_tr_database.svh:295 Compile class "uvm_pkg::uvm_text_tr_database".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets.svh:271 Compile class "uvm_pkg::uvm_tlm_b_passthrough_initiator_socket".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:188 Compile class "uvm_pkg::uvm_tlm_b_passthrough_target_socket_base".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_imps.svh:135 Compile class "uvm_pkg::uvm_tlm_b_transport_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_generic_payload.svh:948 Compile class "uvm_pkg::uvm_tlm_extension_base".
-
-[INFO :CP0302] uvm-1.2/src/tlm1/uvm_tlm_fifo_base.svh:46 Compile class "uvm_pkg::uvm_tlm_fifo_base".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:124 Compile class "uvm_pkg::uvm_tlm_nb_passthrough_initiator_socket_base".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_sockets_base.svh:79 Compile class "uvm_pkg::uvm_tlm_nb_target_socket_base".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_imps.svh:197 Compile class "uvm_pkg::uvm_tlm_nb_transport_bw_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_imps.svh:181 Compile class "uvm_pkg::uvm_tlm_nb_transport_fw_imp".
-
-[INFO :CP0302] uvm-1.2/src/tlm2/uvm_tlm2_time.svh:31 Compile class "uvm_pkg::uvm_tlm_time".
-
-[INFO :CP0302] uvm-1.2/src/base/uvm_tr_database.svh:52 Compile class "uvm_pkg::uvm_tr_database".
-
 [INFO :CP0302] uvm-1.2/src/base/uvm_printer.svh:358 Compile class "uvm_pkg::uvm_tree_printer".
 
 [INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:175 Compile class "uvm_pkg::uvm_typed_callbacks".
 
+[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:61 Compile class "uvm_pkg::uvm_typeid".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_callback.svh:47 Compile class "uvm_pkg::uvm_typeid_base".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_misc.svh:635 Compile class "uvm_pkg::uvm_utils".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:30 Compile class "uvm_pkg::uvm_visitor".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_traversal.svh:92 Compile class "uvm_pkg::uvm_visitor_adapter".
+
+[INFO :CP0302] uvm-1.2/src/base/uvm_misc.svh:39 Compile class "uvm_pkg::uvm_void".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_vreg.svh:57 Compile class "uvm_pkg::uvm_vreg".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_vreg.svh:618 Compile class "uvm_pkg::uvm_vreg_cbs".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_vreg_field.svh:49 Compile class "uvm_pkg::uvm_vreg_field".
+
+[INFO :CP0302] uvm-1.2/src/reg/uvm_vreg_field.svh:379 Compile class "uvm_pkg::uvm_vreg_field_cbs".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_commands.sv:117 Compile class "uvmc_pkg::uvm_domain".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:250 Compile class "uvmc_pkg::uvmc_base".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:59 Compile class "uvmc_pkg::uvmc_converter".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_common.sv:181 Compile class "uvmc_pkg::uvmc_default_converter".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_commands.sv:152 Compile class "uvmc_pkg::uvmc_drop_objection_info".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:704 Compile class "uvmc_pkg::uvmc_tlm".
+
 [INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm1.sv:1084 Compile class "uvmc_pkg::uvmc_tlm1".
 
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm1.sv:458 Compile class "uvmc_pkg::uvmc_tlm1_dispatch".
+
 [INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm1.sv:912 Compile class "uvmc_pkg::uvmc_tlm1_port_proxy".
 
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:120 Compile class "uvmc_pkg::uvmc_tlm2_dispatch".
+
 [INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:387 Compile class "uvmc_pkg::uvmc_tlm2_port_proxy".
 
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:583 Compile class "uvmc_pkg::uvmc_tlm_b_initiator_comp".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:509 Compile class "uvmc_pkg::uvmc_tlm_b_target_comp".
+
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:608 Compile class "uvmc_pkg::uvmc_tlm_nb_initiator_comp".
+
 [INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_tlm2.sv:544 Compile class "uvmc_pkg::uvmc_tlm_nb_target_comp".
 
+[INFO :CP0302] uvmc-2.2/src/connect/sv/uvmc_commands.sv:142 Compile class "uvmc_pkg::uvmc_wait_for_phase_info".
+
+[INFO :CP0302] builtin.sv:4 Compile class "work@mailbox".
+
 [INFO :CP0302] builtin.sv:33 Compile class "work@process".
 
 [INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
 
-[ERROR:EL0514] uvmc-2.2/src/connect/sv/uvmc_common.sv:178 Undefined variable: OVM_PACK.
+[ERROR:EL0514] ovm-2.1.2/src/base/ovm_comparer.svh:178 Undefined variable: OVM_PACK.
 
-[ERROR:EL0514] uvmc-2.2/src/connect/sv/uvmc_common.sv:179 Undefined variable: OVM_UNPACK.
+[ERROR:EL0514] ovm-2.1.2/src/base/ovm_comparer.svh:179 Undefined variable: OVM_UNPACK.
+
+[ERROR:EL0514] ovm_pkg::avm_put_port:178 Undefined variable: OVM_PACK.
+
+[ERROR:EL0514] ovm_pkg::avm_put_port:179 Undefined variable: OVM_UNPACK.
 
 [INFO :EL0526] Design Elaboration...
 
@@ -1645,13 +1615,8 @@
 [ERROR:EL0514] uvmc-2.2/src/connect/sv/uvmc_tlm1.sv:937 Undefined variable: super.
 
 [  FATAL] : 0
-[  ERROR] : 10
-[WARNING] : 32
+[ SYNTAX] : 0
+[  ERROR] : 12
+[WARNING] : 27
 [   NOTE] : 5
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-39.30user 0.36system 0:23.31elapsed 170%CPU (0avgtext+0avgdata 469976maxresident)k
-0inputs+38664outputs (0major+129113minor)pagefaults 0swaps
diff --git a/third_party/tests/AmiqSimpleTestSuite/AmiqSimpleTestSuite.log b/third_party/tests/AmiqSimpleTestSuite/AmiqSimpleTestSuite.log
index 02e8bb6..5da366c 100644
--- a/third_party/tests/AmiqSimpleTestSuite/AmiqSimpleTestSuite.log
+++ b/third_party/tests/AmiqSimpleTestSuite/AmiqSimpleTestSuite.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/AmiqSimpleTestSuite/slpp_all/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -867,28 +863,28 @@
                an_interface.sv:7060 previous usage.
 
 [ERROR:CP0334] an_interface.sv:57131 Colliding compilation unit name: "amiq_apb_if",
-               an_interface.sv:28236 previous usage.
+               an_interface.sv:35273 previous usage.
 
-[ERROR:CP0334] amiq_svaunit_ex_simple_pkg.sv:21188 Colliding compilation unit name: "another_interface",
+[ERROR:CP0334] amiq_svaunit_ex_simple_pkg.sv:50029 Colliding compilation unit name: "another_interface",
                amiq_svaunit_ex_simple_pkg.sv:7060 previous usage.
 
-[ERROR:CP0334] amiq_svaunit_ex_simple_pkg.sv:64157 Colliding compilation unit name: "another_interface",
+[ERROR:CP0334] amiq_svaunit_ex_simple_pkg.sv:57131 Colliding compilation unit name: "amiq_apb_if",
+               amiq_svaunit_ex_simple_pkg.sv:35273 previous usage.
+
+[ERROR:CP0334] amiq_svaunit_ex_simple_pkg.sv:78951 Colliding compilation unit name: "another_interface",
                amiq_svaunit_ex_simple_pkg.sv:7060 previous usage.
 
-[ERROR:CP0334] amiq_svaunit_ex_simple_pkg.sv:71259 Colliding compilation unit name: "amiq_apb_if",
-               amiq_svaunit_ex_simple_pkg.sv:42364 previous usage.
-
-[ERROR:CP0334] amiq_svaunit_ex_simple_pkg.sv:100127 Colliding compilation unit name: "amiq_apb_if",
-               amiq_svaunit_ex_simple_pkg.sv:42364 previous usage.
+[ERROR:CP0334] amiq_svaunit_ex_simple_pkg.sv:107164 Colliding compilation unit name: "amiq_apb_if",
+               amiq_svaunit_ex_simple_pkg.sv:35273 previous usage.
 
 [ERROR:CP0334] amiq_svaunit_ex_simple_pkg.sv:129823 Colliding compilation unit name: "another_interface",
                amiq_svaunit_ex_simple_pkg.sv:7060 previous usage.
 
 [ERROR:CP0334] amiq_svaunit_ex_simple_pkg.sv:136925 Colliding compilation unit name: "amiq_apb_if",
-               amiq_svaunit_ex_simple_pkg.sv:42364 previous usage.
+               amiq_svaunit_ex_simple_pkg.sv:35273 previous usage.
 
 [ERROR:CP0334] amiq_svaunit_ex_simple_pkg.sv:144603 Colliding compilation unit name: "an_interface",
-               amiq_svaunit_ex_simple_pkg.sv:78937 previous usage.
+               amiq_svaunit_ex_simple_pkg.sv:64809 previous usage.
 
 [ERROR:CP0316] apb_top.sv:36 Undefined package "amiq_svaunit_ex_apb_test_pkg".
 
@@ -923,13 +919,8 @@
                ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:330 previous definition.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 12
 [WARNING] : 15
 [   NOTE] : 9
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-34.15user 0.38system 0:34.53elapsed 99%CPU (0avgtext+0avgdata 542220maxresident)k
-0inputs+48960outputs (0major+154272minor)pagefaults 0swaps
diff --git a/third_party/tests/ApbSlave/ApbSlave.log b/third_party/tests/ApbSlave/ApbSlave.log
index 9512594..63cb6e5 100644
--- a/third_party/tests/ApbSlave/ApbSlave.log
+++ b/third_party/tests/ApbSlave/ApbSlave.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/ApbSlave/slpp_all/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -77,13 +73,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 1
 [   NOTE] : 7
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-2.54user 0.02system 0:02.57elapsed 99%CPU (0avgtext+0avgdata 64492maxresident)k
-0inputs+696outputs (0major+19921minor)pagefaults 0swaps
diff --git a/third_party/tests/BlackParrot/BlackParrot.log b/third_party/tests/BlackParrot/BlackParrot.log
index dd3479e..048b2c7 100644
--- a/third_party/tests/BlackParrot/BlackParrot.log
+++ b/third_party/tests/BlackParrot/BlackParrot.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/BlackParrot/slpp_all/surelog.log.
 
 [WARNI:CM0005] Include path "./bp_top/src/include" does not exist.
@@ -1887,13 +1883,8 @@
 there are 2 more instances of this message.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 15
 [WARNING] : 219
 [   NOTE] : 108
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-62.64user 0.69system 1:03.37elapsed 99%CPU (0avgtext+0avgdata 1240156maxresident)k
-4168inputs+228816outputs (0major+355455minor)pagefaults 0swaps
diff --git a/third_party/tests/BuildOVMPkg/BuildOVMPkg.log b/third_party/tests/BuildOVMPkg/BuildOVMPkg.log
index ea91904..812810e 100644
--- a/third_party/tests/BuildOVMPkg/BuildOVMPkg.log
+++ b/third_party/tests/BuildOVMPkg/BuildOVMPkg.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/BuildOVMPkg/slpp_all/surelog.log.
 
 Scan libraries took 0.000s
@@ -239,15 +235,15 @@
 
 [INFO :PP0123] Preprocessing include file "../../UVM/ovm-2.1.2/src/compatibility/urm_meth_compatibility.svh".
 
-Preprocessing took 1.808s
+Preprocessing took 1.726s
 
 [INFO :PA0201] Parsing source file "builtin.sv".
 
 [INFO :PA0201] Parsing source file "../../UVM/ovm-2.1.2/src/ovm_pkg.sv".
 
-Parsing took 58.144s
-SSL Parsing: 0.040 ../../../build/tests/BuildOVMPkg/slpp_all/work//home/alain/Surelog/dist/surelog/bin/__/sv/builtin.sv
-LL  Parsing: 57.068 ../../../build/tests/BuildOVMPkg/slpp_all/work/__/__/UVM/ovm-2.1.2/src/ovm_pkg.sv
+Parsing took 45.164s
+SSL Parsing: 0.036 ../../../build/tests/BuildOVMPkg/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
+LL  Parsing: 44.200 ../../../build/tests/BuildOVMPkg/slpp_all/work/__/__/UVM/ovm-2.1.2/src/ovm_pkg.sv
 
 [WARNI:PA0205] ../../UVM/ovm-2.1.2/src/ovm_pkg.sv:22 No timescale set for "ovm_pkg".
 
@@ -813,7 +809,7 @@
 
 [INFO :CP0302] builtin.sv:58 Compile class "work@semaphore".
 
-Compilation took 0.026s
+Compilation took 0.024s
 
 [INFO :EL0526] Design Elaboration...
 
@@ -825,29 +821,24 @@
 
 [NOTE :EL0511] Nb leaf instances: 0.
 
-Elaboration took 0.034s
+Elaboration took 0.020s
 
 ==============
 PROFILE
 ==============
 Scan libraries took 0.000s
-Preprocessing took 1.808s
-Parsing took 58.144s
-SSL Parsing: 0.040 ../../../build/tests/BuildOVMPkg/slpp_all/work//home/alain/Surelog/dist/surelog/bin/__/sv/builtin.sv
-LL  Parsing: 57.068 ../../../build/tests/BuildOVMPkg/slpp_all/work/__/__/UVM/ovm-2.1.2/src/ovm_pkg.sv
-Compilation took 0.026s
-Elaboration took 0.034s
-Total time 60.012s
+Preprocessing took 1.726s
+Parsing took 45.164s
+SSL Parsing: 0.036 ../../../build/tests/BuildOVMPkg/slpp_all/work//home/alain/Surelog/build/dist/Release//sv/builtin.sv
+LL  Parsing: 44.200 ../../../build/tests/BuildOVMPkg/slpp_all/work/__/__/UVM/ovm-2.1.2/src/ovm_pkg.sv
+Compilation took 0.024s
+Elaboration took 0.020s
+Total time 46.934s
 ==============
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 16
 [   NOTE] : 5
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-61.34user 0.26system 1:01.61elapsed 99%CPU (0avgtext+0avgdata 841756maxresident)k
-0inputs+2288outputs (0major+216821minor)pagefaults 0swaps
diff --git a/third_party/tests/CoresSweRV/CoresSweRV.log b/third_party/tests/CoresSweRV/CoresSweRV.log
index 1afcee1..0f9f7ec 100644
--- a/third_party/tests/CoresSweRV/CoresSweRV.log
+++ b/third_party/tests/CoresSweRV/CoresSweRV.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/CoresSweRV/slpp_all/surelog.log.
 
 [NOTE :CM0009] Command line argument "+error+500" ignored.
@@ -1362,13 +1358,8 @@
 there are 4 more instances of this message.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 110
 [   NOTE] : 21
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-39.54user 0.38system 0:39.98elapsed 99%CPU (0avgtext+0avgdata 813252maxresident)k
-29928inputs+32800outputs (0major+213569minor)pagefaults 0swaps
diff --git a/third_party/tests/Driver/Driver.log b/third_party/tests/Driver/Driver.log
index 0f84d70..7d8076a 100644
--- a/third_party/tests/Driver/Driver.log
+++ b/third_party/tests/Driver/Driver.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/Driver/slpp_all/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -786,13 +782,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 11
 [   NOTE] : 8
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-4.59user 0.06system 0:04.67elapsed 99%CPU (0avgtext+0avgdata 125728maxresident)k
-952inputs+9176outputs (0major+41640minor)pagefaults 0swaps
diff --git a/third_party/tests/Ibex/Ibex.log b/third_party/tests/Ibex/Ibex.log
index 038c503..04b737c 100644
--- a/third_party/tests/Ibex/Ibex.log
+++ b/third_party/tests/Ibex/Ibex.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/Ibex/slpp_all/surelog.log.
 
 [WARNI:PP0113] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:1573 Unused macro argument "VAL".
@@ -854,13 +850,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 10
 [   NOTE] : 10
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-35.43user 0.28system 0:35.75elapsed 99%CPU (0avgtext+0avgdata 516952maxresident)k
-29136inputs+32776outputs (0major+139512minor)pagefaults 0swaps
diff --git a/third_party/tests/IbexGoogle/IbexGoogle.log b/third_party/tests/IbexGoogle/IbexGoogle.log
index 298f9dd..2f2ad1c 100644
--- a/third_party/tests/IbexGoogle/IbexGoogle.log
+++ b/third_party/tests/IbexGoogle/IbexGoogle.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/IbexGoogle/slpp_all/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -136,7 +132,7 @@
 
 [INFO :PA0201] Parsing source file "./src/riscv_instr_pkg.sv".
 
-[ERROR:PA0207] target/rv32i/riscv_core_setting.sv:56 Syntax error: missing ';' at '[',
+[SYNTX:PA0207] target/rv32i/riscv_core_setting.sv:56 Syntax error: missing ';' at '[',
 parameter privileged_reg_t implemented_csr[] = {
                                           ^-- ../../../build/tests/IbexGoogle/slpp_all/work/./src/riscv_instr_pkg.sv:4234 col:42.
 
@@ -929,13 +925,8 @@
 [ERROR:EL0514] ./src/riscv_instr_gen_config.sv:511 Undefined variable: supported_privileged_mode.
 
 [  FATAL] : 0
-[  ERROR] : 7
+[ SYNTAX] : 1
+[  ERROR] : 6
 [WARNING] : 11
 [   NOTE] : 7
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-46.15user 0.30system 0:46.48elapsed 99%CPU (0avgtext+0avgdata 529300maxresident)k
-1256inputs+37912outputs (0major+142528minor)pagefaults 0swaps
diff --git a/third_party/tests/Icarus/Icarus.log b/third_party/tests/Icarus/Icarus.log
index a59c4d0..636c081 100644
--- a/third_party/tests/Icarus/Icarus.log
+++ b/third_party/tests/Icarus/Icarus.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/Icarus/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -12,19 +8,19 @@
 
 [ERROR:PP0118] ivltests/string11.v:7 Unknown escaped sequence '\1'.
 
-[ERROR:PA0207] ivltests/contrib8.2.v:51 Syntax error: mismatched input ')' expecting {'.', 'interface', 'virtual', 'type', 'input', 'output', 'inout', 'ref', 'new', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', 'context', DOLLAR_UNIT, '(*', 'expect', 'soft', 'global', 'do', 'this', DOLLAR_ROOT, 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier},
+[SYNTX:PA0207] ivltests/contrib8.2.v:51 Syntax error: mismatched input ')' expecting {'.', 'interface', 'virtual', 'type', 'input', 'output', 'inout', 'ref', 'new', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', 'context', DOLLAR_UNIT, '(*', 'expect', 'soft', 'global', 'do', 'this', DOLLAR_ROOT, 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier},
 module c(.a({b, c}), );
                      ^-- ../../../build/tests/Icarus/slpp_unit/work/ivltests/contrib8.2.v:51 col:21.
 
-[ERROR:PA0207] ivltests/escape2a.v:14 Syntax error: token recognition error at: '\',
+[SYNTX:PA0207] ivltests/escape2a.v:14 Syntax error: token recognition error at: '\',
 \6Y_E
 ^-- ../../../build/tests/Icarus/slpp_unit/work/ivltests/escape2a.v:14 col:0.
 
-[ERROR:PA0207] ivltests/escape2b.v:15 Syntax error: token recognition error at: '\',
+[SYNTX:PA0207] ivltests/escape2b.v:15 Syntax error: token recognition error at: '\',
 \6Y_E
 ^-- ../../../build/tests/Icarus/slpp_unit/work/ivltests/escape2b.v:15 col:0.
 
-[ERROR:PA0207] ivltests/specify_01.v:13 Syntax error: no viable alternative at input '(clk,d =>',
+[SYNTX:PA0207] ivltests/specify_01.v:13 Syntax error: no viable alternative at input '(clk,d =>',
   (clk,d => q) = (tR_clk_q,tF_clk_q);
          ^-- ../../../build/tests/Icarus/slpp_unit/work/ivltests/specify_01.v:13 col:9.
 
@@ -2005,13 +2001,8 @@
 [NOTE :EL0511] Nb leaf instances: 227.
 
 [  FATAL] : 0
-[  ERROR] : 10
+[ SYNTAX] : 4
+[  ERROR] : 6
 [WARNING] : 264
 [   NOTE] : 160
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-16.76user 0.31system 0:17.08elapsed 99%CPU (0avgtext+0avgdata 693488maxresident)k
-0inputs+6016outputs (0major+177854minor)pagefaults 0swaps
diff --git a/third_party/tests/MiniAmiq/MiniAmiq.log b/third_party/tests/MiniAmiq/MiniAmiq.log
index 8667ea8..ca745fb 100644
--- a/third_party/tests/MiniAmiq/MiniAmiq.log
+++ b/third_party/tests/MiniAmiq/MiniAmiq.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/MiniAmiq/slpp_all/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -797,13 +793,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 11
 [   NOTE] : 6
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-15.45user 0.13system 0:15.58elapsed 99%CPU (0avgtext+0avgdata 258472maxresident)k
-0inputs+13600outputs (0major+74859minor)pagefaults 0swaps
diff --git a/third_party/tests/Monitor/Monitor.log b/third_party/tests/Monitor/Monitor.log
index f7b04f9..9565e8a 100644
--- a/third_party/tests/Monitor/Monitor.log
+++ b/third_party/tests/Monitor/Monitor.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/Monitor/slpp_unit/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -819,13 +815,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 11
 [   NOTE] : 7
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-12.00user 0.08system 0:12.09elapsed 99%CPU (0avgtext+0avgdata 271652maxresident)k
-0inputs+15224outputs (0major+78108minor)pagefaults 0swaps
diff --git a/third_party/tests/OVMSwitch/OVMSwitch.log b/third_party/tests/OVMSwitch/OVMSwitch.log
index 55a85ce..b02c42c 100644
--- a/third_party/tests/OVMSwitch/OVMSwitch.log
+++ b/third_party/tests/OVMSwitch/OVMSwitch.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/OVMSwitch/slpp_unit/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -1159,13 +1155,8 @@
 [ERROR:EL0514] ../../UVM/ovm-2.1.2/src/macros/ovm_sequence_defines.svh:166 Undefined variable: factory.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 1
 [WARNING] : 17
 [   NOTE] : 124
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-16.54user 0.13system 0:16.70elapsed 99%CPU (0avgtext+0avgdata 290084maxresident)k
-12672inputs+7544outputs (0major+78920minor)pagefaults 0swaps
diff --git a/third_party/tests/RiscV/RiscV.log b/third_party/tests/RiscV/RiscV.log
index 8219a32..0ab871c 100644
--- a/third_party/tests/RiscV/RiscV.log
+++ b/third_party/tests/RiscV/RiscV.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/RiscV/slpp_all/surelog.log.
 
 [WARNI:CM0010] Command line argument "-full64" ignored.
@@ -115,13 +111,8 @@
 [NOTE :EL0511] Nb leaf instances: 2.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 10
 [   NOTE] : 19
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-3.04user 0.04system 0:03.09elapsed 99%CPU (0avgtext+0avgdata 103828maxresident)k
-0inputs+2824outputs (0major+29679minor)pagefaults 0swaps
diff --git a/third_party/tests/SVSwitch/SVSwitch.log b/third_party/tests/SVSwitch/SVSwitch.log
index 8565086..f7158fc 100644
--- a/third_party/tests/SVSwitch/SVSwitch.log
+++ b/third_party/tests/SVSwitch/SVSwitch.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/SVSwitch/slpp_all/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -268,13 +264,8 @@
 [NOTE :EL0522] rtl.sv:23 Scope "work@top.DUT.fsm_core.UNNAMED.UNNAMED.UNNAMED".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 2
 [   NOTE] : 52
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-4.63user 0.03system 0:04.68elapsed 99%CPU (0avgtext+0avgdata 85936maxresident)k
-168inputs+1112outputs (0major+26025minor)pagefaults 0swaps
diff --git a/third_party/tests/Scoreboard/Scoreboard.log b/third_party/tests/Scoreboard/Scoreboard.log
index 7231fad..51b2ae9 100644
--- a/third_party/tests/Scoreboard/Scoreboard.log
+++ b/third_party/tests/Scoreboard/Scoreboard.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/Scoreboard/slpp_unit/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -777,13 +773,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 11
 [   NOTE] : 7
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-4.49user 0.08system 0:04.58elapsed 99%CPU (0avgtext+0avgdata 112976maxresident)k
-0inputs+8096outputs (0major+38601minor)pagefaults 0swaps
diff --git a/third_party/tests/SeqDriver/SeqDriver.log b/third_party/tests/SeqDriver/SeqDriver.log
index 5b745cc..683a95c 100644
--- a/third_party/tests/SeqDriver/SeqDriver.log
+++ b/third_party/tests/SeqDriver/SeqDriver.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/SeqDriver/slpp_unit/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -761,13 +757,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 11
 [   NOTE] : 7
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-3.69user 0.06system 0:03.76elapsed 99%CPU (0avgtext+0avgdata 120484maxresident)k
-0inputs+7896outputs (0major+40271minor)pagefaults 0swaps
diff --git a/third_party/tests/SimpleOVM/SimpleOVM.log b/third_party/tests/SimpleOVM/SimpleOVM.log
index 5da06d7..fee0789 100644
--- a/third_party/tests/SimpleOVM/SimpleOVM.log
+++ b/third_party/tests/SimpleOVM/SimpleOVM.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/SimpleOVM/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -649,13 +645,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 16
 [   NOTE] : 4
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-0.96user 0.03system 0:00.99elapsed 99%CPU (0avgtext+0avgdata 72152maxresident)k
-0inputs+4520outputs (0major+23673minor)pagefaults 0swaps
diff --git a/third_party/tests/SimpleParserTest/SimpleParserTest.log b/third_party/tests/SimpleParserTest/SimpleParserTest.log
index 8fc2176..201bbfb 100644
--- a/third_party/tests/SimpleParserTest/SimpleParserTest.log
+++ b/third_party/tests/SimpleParserTest/SimpleParserTest.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/SimpleParserTest/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -72,771 +68,7286 @@
 
 [INFO :PY0400] Processing source file "builtin.sv".
 
+[INFO :PY0400] Processing source file "another_arbiter.v".
+
 enterTop_level_rule
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
+  File: another_arbiter.v , 2
+  Text: module arbiter ( clo ...
 enterNull_rule
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
+  File: another_arbiter.v , 2
   Text:  ...
 enterSource_text
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
+  File: another_arbiter.v , 2
+  Text: module arbiter ( clo ...
 enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
+  File: another_arbiter.v , 2
+  Text: module arbiter ( clo ...
+enterModule_declaration
+  File: another_arbiter.v , 2
+  Text: module arbiter ( clo ...
+enterModule_nonansi_header
+  File: another_arbiter.v , 2
+  Text: module arbiter ( clo ...
+enterModule_keyword
+  File: another_arbiter.v , 2
+  Text: module  ...
+enterIdentifier
+  File: another_arbiter.v , 2
+  Text: arbiter  ...
+enterList_of_ports
+  File: another_arbiter.v , 2
+  Text: ( clock , reset , ro ...
+enterPort
+  File: another_arbiter.v , 2
+  Text: clock  ...
+enterPort_expression
+  File: another_arbiter.v , 2
+  Text: clock  ...
+enterPort_reference
+  File: another_arbiter.v , 2
+  Text: clock  ...
+enterIdentifier
+  File: another_arbiter.v , 2
+  Text: clock  ...
+enterConstant_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterConstant_bit_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterPort
+  File: another_arbiter.v , 2
+  Text: reset  ...
+enterPort_expression
+  File: another_arbiter.v , 2
+  Text: reset  ...
+enterPort_reference
+  File: another_arbiter.v , 2
+  Text: reset  ...
+enterIdentifier
+  File: another_arbiter.v , 2
+  Text: reset  ...
+enterConstant_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterConstant_bit_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterPort
+  File: another_arbiter.v , 2
+  Text: roundORpriority  ...
+enterPort_expression
+  File: another_arbiter.v , 2
+  Text: roundORpriority  ...
+enterPort_reference
+  File: another_arbiter.v , 2
+  Text: roundORpriority  ...
+enterIdentifier
+  File: another_arbiter.v , 2
+  Text: roundORpriority  ...
+enterConstant_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterConstant_bit_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterPort
+  File: another_arbiter.v , 2
+  Text: request  ...
+enterPort_expression
+  File: another_arbiter.v , 2
+  Text: request  ...
+enterPort_reference
+  File: another_arbiter.v , 2
+  Text: request  ...
+enterIdentifier
+  File: another_arbiter.v , 2
+  Text: request  ...
+enterConstant_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterConstant_bit_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterPort
+  File: another_arbiter.v , 2
+  Text: tpriority  ...
+enterPort_expression
+  File: another_arbiter.v , 2
+  Text: tpriority  ...
+enterPort_reference
+  File: another_arbiter.v , 2
+  Text: tpriority  ...
+enterIdentifier
+  File: another_arbiter.v , 2
+  Text: tpriority  ...
+enterConstant_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterConstant_bit_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterPort
+  File: another_arbiter.v , 2
+  Text: grant  ...
+enterPort_expression
+  File: another_arbiter.v , 2
+  Text: grant  ...
+enterPort_reference
+  File: another_arbiter.v , 2
+  Text: grant  ...
+enterIdentifier
+  File: another_arbiter.v , 2
+  Text: grant  ...
+enterConstant_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterConstant_bit_select
+  File: another_arbiter.v , 2
+  Text:  ...
+enterModule_item
+  File: another_arbiter.v , 3
+  Text: integer i , j , k ,  ...
+enterNon_port_module_item
+  File: another_arbiter.v , 3
+  Text: integer i , j , k ,  ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 3
+  Text: integer i , j , k ,  ...
+enterModule_common_item
+  File: another_arbiter.v , 3
+  Text: integer i , j , k ,  ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 3
+  Text: integer i , j , k ,  ...
 enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: class mailbox ; func ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 4
-  Text: mailbox  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: function new ( int b ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: new ( int bound = 0  ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: new  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int bound = 0  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int bound = 0  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: bound  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 6
-  Text: 0  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 7
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: function int num ( ) ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int num ( ) ; endfun ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 9
-  Text: num  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 10
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: task put ( message ) ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: put ( message ) ; en ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 12
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 13
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: function try_put ( m ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: try_put ( message )  ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: try_put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 15
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 16
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: task get ( ref messa ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: get ( ref message )  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 18
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 19
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: function int try_get ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int try_get ( ref me ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: try_get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 21
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 22
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: task peek ( ref mess ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: peek ( ref message ) ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: peek  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 24
-  Text: message  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 25
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: function int try_pee ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int try_peek ( ref m ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: try_peek  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref message  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref message  ...
-enterTfPortDir_Ref
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: ref  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text:  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 27
-  Text: message  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 28
-  Text: endfunction  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 30
-  Text: endclass  ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: class process ; type ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 33
-  Text: process  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterClass_property
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
+  File: another_arbiter.v , 3
+  Text: integer i , j , k ,  ...
 enterData_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
-enterType_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: typedef enum { FINIS ...
+  File: another_arbiter.v , 3
+  Text: integer i , j , k ,  ...
+enterVariable_declaration
+  File: another_arbiter.v , 3
+  Text: integer i , j , k ,  ...
 enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: enum { FINISHED , RU ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: FINISHED  ...
+  File: another_arbiter.v , 3
+  Text: integer  ...
+enterIntegerAtomType_Int
+  File: another_arbiter.v , 3
+  Text: integer  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 3
+  Text: i , j , k , p , q ,  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 3
+  Text: i  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: FINISHED  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: RUNNING  ...
+  File: another_arbiter.v , 3
+  Text: i  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 3
+  Text: j  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: RUNNING  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: WAITING  ...
+  File: another_arbiter.v , 3
+  Text: j  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 3
+  Text: k  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: WAITING  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: SUSPENDED  ...
+  File: another_arbiter.v , 3
+  Text: k  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 3
+  Text: p  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: SUSPENDED  ...
-enterEnum_name_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: KILLED  ...
+  File: another_arbiter.v , 3
+  Text: p  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 3
+  Text: q  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: KILLED  ...
+  File: another_arbiter.v , 3
+  Text: q  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 3
+  Text: r  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 35
-  Text: state  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static function proc ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static function proc ...
-enterMethodQualifier_ClassItem
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static  ...
-enterClassItemQualifier_Static
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: static  ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: function process sel ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process self ( ) ; e ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
+  File: another_arbiter.v , 3
+  Text: r  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 3
+  Text: s  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: process  ...
+  File: another_arbiter.v , 3
+  Text: s  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 3
+  Text: t  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 37
-  Text: self  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 38
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: function state statu ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state status ( ) ; e ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
+  File: another_arbiter.v , 3
+  Text: t  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 3
+  Text: u  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: state  ...
+  File: another_arbiter.v , 3
+  Text: u  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 3
+  Text: v  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 40
-  Text: status  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 41
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: task kill ( ) ; endt ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: kill ( ) ; endtask  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 43
-  Text: kill  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 44
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: task await ( ) ; end ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: await ( ) ; endtask  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 46
-  Text: await  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 47
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: task suspend ( ) ; e ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: suspend ( ) ; endtas ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 49
-  Text: suspend  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 50
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: task resume ( ) ; en ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: resume ( ) ; endtask ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 52
-  Text: resume  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 53
-  Text: endtask  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 55
-  Text: endclass  ...
-enterDescription
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterPackage_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
+  File: another_arbiter.v , 3
+  Text: v  ...
+enterModule_item
+  File: another_arbiter.v , 7
+  Text: parameter NUMUNITS = ...
+enterNon_port_module_item
+  File: another_arbiter.v , 7
+  Text: parameter NUMUNITS = ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 7
+  Text: parameter NUMUNITS = ...
+enterModule_common_item
+  File: another_arbiter.v , 7
+  Text: parameter NUMUNITS = ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 7
+  Text: parameter NUMUNITS = ...
 enterPackage_or_generate_item_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterClass_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: class semaphore ; fu ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 58
-  Text: semaphore  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: function new ( int k ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: new ( int keyCount = ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
+  File: another_arbiter.v , 7
+  Text: parameter NUMUNITS = ...
+enterParameter_declaration
+  File: another_arbiter.v , 7
+  Text: parameter NUMUNITS = ...
+enterData_type_or_implicit
+  File: another_arbiter.v , 7
   Text:  ...
+enterList_of_param_assignments
+  File: another_arbiter.v , 7
+  Text: NUMUNITS = 8  ...
+enterParam_assignment
+  File: another_arbiter.v , 7
+  Text: NUMUNITS = 8  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: new  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int keyCount = 0  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int keyCount = 0  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
+  File: another_arbiter.v , 7
+  Text: NUMUNITS  ...
+enterConstant_param_expression
+  File: another_arbiter.v , 7
+  Text: 8  ...
+enterConstant_mintypmax_expression
+  File: another_arbiter.v , 7
+  Text: 8  ...
+enterConstant_expression
+  File: another_arbiter.v , 7
+  Text: 8  ...
+enterConstant_primary
+  File: another_arbiter.v , 7
+  Text: 8  ...
 enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
+  File: another_arbiter.v , 7
+  Text: 8  ...
 enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 60
-  Text: 0  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 61
-  Text: endfunction  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: task put ( int keyCo ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: put ( int keyCount = ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: put  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int keyCount = 1  ...
+  File: another_arbiter.v , 7
+  Text: 8  ...
+enterModule_item
+  File: another_arbiter.v , 8
+  Text: parameter ADDRESSWID ...
+enterNon_port_module_item
+  File: another_arbiter.v , 8
+  Text: parameter ADDRESSWID ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 8
+  Text: parameter ADDRESSWID ...
+enterModule_common_item
+  File: another_arbiter.v , 8
+  Text: parameter ADDRESSWID ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 8
+  Text: parameter ADDRESSWID ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 8
+  Text: parameter ADDRESSWID ...
+enterParameter_declaration
+  File: another_arbiter.v , 8
+  Text: parameter ADDRESSWID ...
 enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: int  ...
+  File: another_arbiter.v , 8
+  Text:  ...
+enterList_of_param_assignments
+  File: another_arbiter.v , 8
+  Text: ADDRESSWIDTH = 3  ...
+enterParam_assignment
+  File: another_arbiter.v , 8
+  Text: ADDRESSWIDTH = 3  ...
 enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
-  Text: keyCount  ...
-enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
+  File: another_arbiter.v , 8
+  Text: ADDRESSWIDTH  ...
+enterConstant_param_expression
+  File: another_arbiter.v , 8
+  Text: 3  ...
+enterConstant_mintypmax_expression
+  File: another_arbiter.v , 8
+  Text: 3  ...
+enterConstant_expression
+  File: another_arbiter.v , 8
+  Text: 3  ...
+enterConstant_primary
+  File: another_arbiter.v , 8
+  Text: 3  ...
+enterPrimary_literal
+  File: another_arbiter.v , 8
+  Text: 3  ...
+enterNumber_Integral
+  File: another_arbiter.v , 8
+  Text: 3  ...
+enterModule_item
+  File: another_arbiter.v , 12
+  Text: input clock ;  ...
+enterPort_declaration
+  File: another_arbiter.v , 12
+  Text: input clock  ...
+enterInput_declaration
+  File: another_arbiter.v , 12
+  Text: input clock  ...
+enterNet_port_type
+  File: another_arbiter.v , 12
+  Text:  ...
+enterData_type_or_implicit
+  File: another_arbiter.v , 12
+  Text:  ...
+enterList_of_port_identifiers
+  File: another_arbiter.v , 12
+  Text: clock  ...
+enterIdentifier
+  File: another_arbiter.v , 12
+  Text: clock  ...
+enterModule_item
+  File: another_arbiter.v , 13
+  Text: input reset ;  ...
+enterPort_declaration
+  File: another_arbiter.v , 13
+  Text: input reset  ...
+enterInput_declaration
+  File: another_arbiter.v , 13
+  Text: input reset  ...
+enterNet_port_type
+  File: another_arbiter.v , 13
+  Text:  ...
+enterData_type_or_implicit
+  File: another_arbiter.v , 13
+  Text:  ...
+enterList_of_port_identifiers
+  File: another_arbiter.v , 13
+  Text: reset  ...
+enterIdentifier
+  File: another_arbiter.v , 13
+  Text: reset  ...
+enterModule_item
+  File: another_arbiter.v , 14
+  Text: input roundORpriorit ...
+enterPort_declaration
+  File: another_arbiter.v , 14
+  Text: input roundORpriorit ...
+enterInput_declaration
+  File: another_arbiter.v , 14
+  Text: input roundORpriorit ...
+enterNet_port_type
+  File: another_arbiter.v , 14
+  Text:  ...
+enterData_type_or_implicit
+  File: another_arbiter.v , 14
+  Text:  ...
+enterList_of_port_identifiers
+  File: another_arbiter.v , 14
+  Text: roundORpriority  ...
+enterIdentifier
+  File: another_arbiter.v , 14
+  Text: roundORpriority  ...
+enterModule_item
+  File: another_arbiter.v , 15
+  Text: input [ NUMUNITS - 1 ...
+enterPort_declaration
+  File: another_arbiter.v , 15
+  Text: input [ NUMUNITS - 1 ...
+enterInput_declaration
+  File: another_arbiter.v , 15
+  Text: input [ NUMUNITS - 1 ...
+enterNet_port_type
+  File: another_arbiter.v , 15
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterData_type_or_implicit
+  File: another_arbiter.v , 15
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterPacked_dimension
+  File: another_arbiter.v , 15
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterConstant_range
+  File: another_arbiter.v , 15
+  Text: NUMUNITS - 1 : 0  ...
+enterConstant_expression
+  File: another_arbiter.v , 15
+  Text: NUMUNITS - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 15
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 15
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 15
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 15
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 15
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 15
   Text: 1  ...
-enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
+enterConstant_primary
+  File: another_arbiter.v , 15
   Text: 1  ...
 enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
+  File: another_arbiter.v , 15
   Text: 1  ...
 enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 63
+  File: another_arbiter.v , 15
   Text: 1  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 64
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterTask_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: task get ( int keyCo ...
-enterTask_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: get ( int keyCount = ...[  FATAL] : 0
+enterConstant_expression
+  File: another_arbiter.v , 15
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 15
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 15
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 15
+  Text: 0  ...
+enterList_of_port_identifiers
+  File: another_arbiter.v , 15
+  Text: request  ...
+enterIdentifier
+  File: another_arbiter.v , 15
+  Text: request  ...
+enterModule_item
+  File: another_arbiter.v , 16
+  Text: input [ ADDRESSWIDTH ...
+enterPort_declaration
+  File: another_arbiter.v , 16
+  Text: input [ ADDRESSWIDTH ...
+enterInput_declaration
+  File: another_arbiter.v , 16
+  Text: input [ ADDRESSWIDTH ...
+enterNet_port_type
+  File: another_arbiter.v , 16
+  Text: [ ADDRESSWIDTH * NUM ...
+enterData_type_or_implicit
+  File: another_arbiter.v , 16
+  Text: [ ADDRESSWIDTH * NUM ...
+enterPacked_dimension
+  File: another_arbiter.v , 16
+  Text: [ ADDRESSWIDTH * NUM ...
+enterConstant_range
+  File: another_arbiter.v , 16
+  Text: ADDRESSWIDTH * NUMUN ...
+enterConstant_expression
+  File: another_arbiter.v , 16
+  Text: ADDRESSWIDTH * NUMUN ...
+enterConstant_expression
+  File: another_arbiter.v , 16
+  Text: ADDRESSWIDTH * NUMUN ...
+enterConstant_expression
+  File: another_arbiter.v , 16
+  Text: ADDRESSWIDTH  ...
+enterConstant_primary
+  File: another_arbiter.v , 16
+  Text: ADDRESSWIDTH  ...
+enterPrimary_literal
+  File: another_arbiter.v , 16
+  Text: ADDRESSWIDTH  ...
+enterIdentifier
+  File: another_arbiter.v , 16
+  Text: ADDRESSWIDTH  ...
+enterBinOp_Mult
+  File: another_arbiter.v , 16
+  Text: *  ...
+enterConstant_expression
+  File: another_arbiter.v , 16
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 16
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 16
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 16
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 16
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 16
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 16
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 16
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 16
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 16
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 16
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 16
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 16
+  Text: 0  ...
+enterList_of_port_identifiers
+  File: another_arbiter.v , 16
+  Text: tpriority  ...
+enterIdentifier
+  File: another_arbiter.v , 16
+  Text: tpriority  ...
+enterModule_item
+  File: another_arbiter.v , 17
+  Text: output [ NUMUNITS -  ...
+enterPort_declaration
+  File: another_arbiter.v , 17
+  Text: output [ NUMUNITS -  ...
+enterOutput_declaration
+  File: another_arbiter.v , 17
+  Text: output [ NUMUNITS -  ...
+enterNet_port_type
+  File: another_arbiter.v , 17
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterData_type_or_implicit
+  File: another_arbiter.v , 17
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterPacked_dimension
+  File: another_arbiter.v , 17
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterConstant_range
+  File: another_arbiter.v , 17
+  Text: NUMUNITS - 1 : 0  ...
+enterConstant_expression
+  File: another_arbiter.v , 17
+  Text: NUMUNITS - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 17
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 17
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 17
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 17
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 17
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 17
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 17
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 17
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 17
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 17
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 17
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 17
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 17
+  Text: 0  ...
+enterList_of_port_identifiers
+  File: another_arbiter.v , 17
+  Text: grant  ...
+enterIdentifier
+  File: another_arbiter.v , 17
+  Text: grant  ...
+enterModule_item
+  File: another_arbiter.v , 19
+  Text: reg [ ADDRESSWIDTH - ...
+enterNon_port_module_item
+  File: another_arbiter.v , 19
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 19
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_common_item
+  File: another_arbiter.v , 19
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 19
+  Text: reg [ ADDRESSWIDTH - ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 19
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_declaration
+  File: another_arbiter.v , 19
+  Text: reg [ ADDRESSWIDTH - ...
+enterVariable_declaration
+  File: another_arbiter.v , 19
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_type
+  File: another_arbiter.v , 19
+  Text: reg [ ADDRESSWIDTH - ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 19
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 19
+  Text: [ ADDRESSWIDTH - 1 : ...
+enterConstant_range
+  File: another_arbiter.v , 19
+  Text: ADDRESSWIDTH - 1 : 0 ...
+enterConstant_expression
+  File: another_arbiter.v , 19
+  Text: ADDRESSWIDTH - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 19
+  Text: ADDRESSWIDTH  ...
+enterConstant_primary
+  File: another_arbiter.v , 19
+  Text: ADDRESSWIDTH  ...
+enterPrimary_literal
+  File: another_arbiter.v , 19
+  Text: ADDRESSWIDTH  ...
+enterIdentifier
+  File: another_arbiter.v , 19
+  Text: ADDRESSWIDTH  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 19
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 19
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 19
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 19
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 19
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 19
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 19
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 19
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 19
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 19
+  Text: prio [ NUMUNITS - 1  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 19
+  Text: prio [ NUMUNITS - 1  ...
+enterIdentifier
+  File: another_arbiter.v , 19
+  Text: prio  ...
+enterVariable_dimension
+  File: another_arbiter.v , 19
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterUnpacked_dimension
+  File: another_arbiter.v , 19
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterConstant_range
+  File: another_arbiter.v , 19
+  Text: NUMUNITS - 1 : 0  ...
+enterConstant_expression
+  File: another_arbiter.v , 19
+  Text: NUMUNITS - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 19
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 19
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 19
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 19
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 19
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 19
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 19
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 19
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 19
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 19
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 19
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 19
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 19
+  Text: 0  ...
+enterModule_item
+  File: another_arbiter.v , 20
+  Text: reg [ ADDRESSWIDTH - ...
+enterNon_port_module_item
+  File: another_arbiter.v , 20
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 20
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_common_item
+  File: another_arbiter.v , 20
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 20
+  Text: reg [ ADDRESSWIDTH - ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 20
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_declaration
+  File: another_arbiter.v , 20
+  Text: reg [ ADDRESSWIDTH - ...
+enterVariable_declaration
+  File: another_arbiter.v , 20
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_type
+  File: another_arbiter.v , 20
+  Text: reg [ ADDRESSWIDTH - ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 20
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 20
+  Text: [ ADDRESSWIDTH - 1 : ...
+enterConstant_range
+  File: another_arbiter.v , 20
+  Text: ADDRESSWIDTH - 1 : 0 ...
+enterConstant_expression
+  File: another_arbiter.v , 20
+  Text: ADDRESSWIDTH - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 20
+  Text: ADDRESSWIDTH  ...
+enterConstant_primary
+  File: another_arbiter.v , 20
+  Text: ADDRESSWIDTH  ...
+enterPrimary_literal
+  File: another_arbiter.v , 20
+  Text: ADDRESSWIDTH  ...
+enterIdentifier
+  File: another_arbiter.v , 20
+  Text: ADDRESSWIDTH  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 20
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 20
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 20
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 20
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 20
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 20
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 20
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 20
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 20
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 20
+  Text: tmp_prio  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 20
+  Text: tmp_prio  ...
+enterIdentifier
+  File: another_arbiter.v , 20
+  Text: tmp_prio  ...
+enterModule_item
+  File: another_arbiter.v , 21
+  Text: always @ ( tpriority ...
+enterNon_port_module_item
+  File: another_arbiter.v , 21
+  Text: always @ ( tpriority ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 21
+  Text: always @ ( tpriority ...
+enterModule_common_item
+  File: another_arbiter.v , 21
+  Text: always @ ( tpriority ...
+enterAlways_construct
+  File: another_arbiter.v , 21
+  Text: always @ ( tpriority ...
+enterAlwaysKeywd_Always
+  File: another_arbiter.v , 21
+  Text: always  ...
+enterStatement
+  File: another_arbiter.v , 21
+  Text: @ ( tpriority ) begi ...
+enterStatement_item
+  File: another_arbiter.v , 21
+  Text: @ ( tpriority ) begi ...
+enterProcedural_timing_control_statement
+  File: another_arbiter.v , 21
+  Text: @ ( tpriority ) begi ...
+enterProcedural_timing_control
+  File: another_arbiter.v , 21
+  Text: @ ( tpriority )  ...
+enterEvent_control
+  File: another_arbiter.v , 21
+  Text: @ ( tpriority )  ...
+enterEvent_expression
+  File: another_arbiter.v , 21
+  Text: tpriority  ...
+enterExpression
+  File: another_arbiter.v , 21
+  Text: tpriority  ...
+enterPrimary
+  File: another_arbiter.v , 21
+  Text: tpriority  ...
+enterPrimary_literal
+  File: another_arbiter.v , 21
+  Text: tpriority  ...
+enterIdentifier
+  File: another_arbiter.v , 21
+  Text: tpriority  ...
+enterStatement_or_null
+  File: another_arbiter.v , 22
+  Text: begin for ( i = 0 ;  ...
+enterStatement
+  File: another_arbiter.v , 22
+  Text: begin for ( i = 0 ;  ...
+enterStatement_item
+  File: another_arbiter.v , 22
+  Text: begin for ( i = 0 ;  ...
+enterSeq_block
+  File: another_arbiter.v , 22
+  Text: begin for ( i = 0 ;  ...
+enterStatement_or_null
+  File: another_arbiter.v , 23
+  Text: for ( i = 0 ; i < NU ...
+enterStatement
+  File: another_arbiter.v , 23
+  Text: for ( i = 0 ; i < NU ...
+enterStatement_item
+  File: another_arbiter.v , 23
+  Text: for ( i = 0 ; i < NU ...
+enterLoop_statement
+  File: another_arbiter.v , 23
+  Text: for ( i = 0 ; i < NU ...
+enterFor_initialization
+  File: another_arbiter.v , 23
+  Text: i = 0  ...
+enterList_of_variable_assignments
+  File: another_arbiter.v , 23
+  Text: i = 0  ...
+enterVariable_assignment
+  File: another_arbiter.v , 23
+  Text: i = 0  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterSelect
+  File: another_arbiter.v , 23
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 23
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 23
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 23
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 23
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 23
+  Text: 0  ...
+enterExpression
+  File: another_arbiter.v , 23
+  Text: i < NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterPrimary
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterPrimary_literal
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterIdentifier
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterBinOp_Less
+  File: another_arbiter.v , 23
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 23
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 23
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 23
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 23
+  Text: NUMUNITS  ...
+enterFor_step
+  File: another_arbiter.v , 23
+  Text: i = i + 1  ...
+enterFor_step_assignment
+  File: another_arbiter.v , 23
+  Text: i = i + 1  ...
+enterOperator_assignment
+  File: another_arbiter.v , 23
+  Text: i = i + 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterSelect
+  File: another_arbiter.v , 23
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 23
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 23
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 23
+  Text: i + 1  ...
+enterExpression
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterPrimary
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterPrimary_literal
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterIdentifier
+  File: another_arbiter.v , 23
+  Text: i  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 23
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 23
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 23
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 23
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 23
+  Text: 1  ...
+enterStatement_or_null
+  File: another_arbiter.v , 24
+  Text: begin for ( j = 0 ;  ...
+enterStatement
+  File: another_arbiter.v , 24
+  Text: begin for ( j = 0 ;  ...
+enterStatement_item
+  File: another_arbiter.v , 24
+  Text: begin for ( j = 0 ;  ...
+enterSeq_block
+  File: another_arbiter.v , 24
+  Text: begin for ( j = 0 ;  ...
+enterStatement_or_null
+  File: another_arbiter.v , 25
+  Text: for ( j = 0 ; j < AD ...
+enterStatement
+  File: another_arbiter.v , 25
+  Text: for ( j = 0 ; j < AD ...
+enterStatement_item
+  File: another_arbiter.v , 25
+  Text: for ( j = 0 ; j < AD ...
+enterLoop_statement
+  File: another_arbiter.v , 25
+  Text: for ( j = 0 ; j < AD ...
+enterFor_initialization
+  File: another_arbiter.v , 25
+  Text: j = 0  ...
+enterList_of_variable_assignments
+  File: another_arbiter.v , 25
+  Text: j = 0  ...
+enterVariable_assignment
+  File: another_arbiter.v , 25
+  Text: j = 0  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterSelect
+  File: another_arbiter.v , 25
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 25
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 25
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 25
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 25
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 25
+  Text: 0  ...
+enterExpression
+  File: another_arbiter.v , 25
+  Text: j < ADDRESSWIDTH  ...
+enterExpression
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterPrimary
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterPrimary_literal
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterIdentifier
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterBinOp_Less
+  File: another_arbiter.v , 25
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 25
+  Text: ADDRESSWIDTH  ...
+enterPrimary
+  File: another_arbiter.v , 25
+  Text: ADDRESSWIDTH  ...
+enterPrimary_literal
+  File: another_arbiter.v , 25
+  Text: ADDRESSWIDTH  ...
+enterIdentifier
+  File: another_arbiter.v , 25
+  Text: ADDRESSWIDTH  ...
+enterFor_step
+  File: another_arbiter.v , 25
+  Text: j = j + 1  ...
+enterFor_step_assignment
+  File: another_arbiter.v , 25
+  Text: j = j + 1  ...
+enterOperator_assignment
+  File: another_arbiter.v , 25
+  Text: j = j + 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterSelect
+  File: another_arbiter.v , 25
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 25
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 25
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 25
+  Text: j + 1  ...
+enterExpression
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterPrimary
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterPrimary_literal
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterIdentifier
+  File: another_arbiter.v , 25
+  Text: j  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 25
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 25
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 25
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 25
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 25
+  Text: 1  ...
+enterStatement_or_null
+  File: another_arbiter.v , 26
+  Text: tmp_prio [ j ] = tpr ...
+enterStatement
+  File: another_arbiter.v , 26
+  Text: tmp_prio [ j ] = tpr ...
+enterStatement_item
+  File: another_arbiter.v , 26
+  Text: tmp_prio [ j ] = tpr ...
+enterBlocking_assignment
+  File: another_arbiter.v , 26
+  Text: tmp_prio [ j ] = tpr ...
+enterOperator_assignment
+  File: another_arbiter.v , 26
+  Text: tmp_prio [ j ] = tpr ...
+enterVariable_lvalue
+  File: another_arbiter.v , 26
+  Text: tmp_prio [ j ]  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 26
+  Text: tmp_prio  ...
+enterSelect
+  File: another_arbiter.v , 26
+  Text: [ j ]  ...
+enterBit_select
+  File: another_arbiter.v , 26
+  Text: [ j ]  ...
+enterExpression
+  File: another_arbiter.v , 26
+  Text: j  ...
+enterPrimary
+  File: another_arbiter.v , 26
+  Text: j  ...
+enterPrimary_literal
+  File: another_arbiter.v , 26
+  Text: j  ...
+enterIdentifier
+  File: another_arbiter.v , 26
+  Text: j  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 26
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 26
+  Text: tpriority [ i * ADDR ...
+enterPrimary
+  File: another_arbiter.v , 26
+  Text: tpriority [ i * ADDR ...
+enterComplex_func_call
+  File: another_arbiter.v , 26
+  Text: tpriority [ i * ADDR ...
+enterIdentifier
+  File: another_arbiter.v , 26
+  Text: tpriority  ...
+enterSelect
+  File: another_arbiter.v , 26
+  Text: [ i * ADDRESSWIDTH + ...
+enterBit_select
+  File: another_arbiter.v , 26
+  Text: [ i * ADDRESSWIDTH + ...
+enterExpression
+  File: another_arbiter.v , 26
+  Text: i * ADDRESSWIDTH + j ...
+enterExpression
+  File: another_arbiter.v , 26
+  Text: i * ADDRESSWIDTH  ...
+enterExpression
+  File: another_arbiter.v , 26
+  Text: i  ...
+enterPrimary
+  File: another_arbiter.v , 26
+  Text: i  ...
+enterPrimary_literal
+  File: another_arbiter.v , 26
+  Text: i  ...
+enterIdentifier
+  File: another_arbiter.v , 26
+  Text: i  ...
+enterBinOp_Mult
+  File: another_arbiter.v , 26
+  Text: *  ...
+enterExpression
+  File: another_arbiter.v , 26
+  Text: ADDRESSWIDTH  ...
+enterPrimary
+  File: another_arbiter.v , 26
+  Text: ADDRESSWIDTH  ...
+enterPrimary_literal
+  File: another_arbiter.v , 26
+  Text: ADDRESSWIDTH  ...
+enterIdentifier
+  File: another_arbiter.v , 26
+  Text: ADDRESSWIDTH  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 26
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 26
+  Text: j  ...
+enterPrimary
+  File: another_arbiter.v , 26
+  Text: j  ...
+enterPrimary_literal
+  File: another_arbiter.v , 26
+  Text: j  ...
+enterIdentifier
+  File: another_arbiter.v , 26
+  Text: j  ...
+enterStatement_or_null
+  File: another_arbiter.v , 27
+  Text: prio [ i ] = tmp_pri ...
+enterStatement
+  File: another_arbiter.v , 27
+  Text: prio [ i ] = tmp_pri ...
+enterStatement_item
+  File: another_arbiter.v , 27
+  Text: prio [ i ] = tmp_pri ...
+enterBlocking_assignment
+  File: another_arbiter.v , 27
+  Text: prio [ i ] = tmp_pri ...
+enterOperator_assignment
+  File: another_arbiter.v , 27
+  Text: prio [ i ] = tmp_pri ...
+enterVariable_lvalue
+  File: another_arbiter.v , 27
+  Text: prio [ i ]  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 27
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 27
+  Text: [ i ]  ...
+enterBit_select
+  File: another_arbiter.v , 27
+  Text: [ i ]  ...
+enterExpression
+  File: another_arbiter.v , 27
+  Text: i  ...
+enterPrimary
+  File: another_arbiter.v , 27
+  Text: i  ...
+enterPrimary_literal
+  File: another_arbiter.v , 27
+  Text: i  ...
+enterIdentifier
+  File: another_arbiter.v , 27
+  Text: i  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 27
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 27
+  Text: tmp_prio  ...
+enterPrimary
+  File: another_arbiter.v , 27
+  Text: tmp_prio  ...
+enterPrimary_literal
+  File: another_arbiter.v , 27
+  Text: tmp_prio  ...
+enterIdentifier
+  File: another_arbiter.v , 27
+  Text: tmp_prio  ...
+enterEnd
+  File: another_arbiter.v , 28
+  Text: end  ...
+enterEnd
+  File: another_arbiter.v , 29
+  Text: end  ...
+enterModule_item
+  File: another_arbiter.v , 30
+  Text: reg [ NUMUNITS - 1 : ...
+enterNon_port_module_item
+  File: another_arbiter.v , 30
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 30
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_common_item
+  File: another_arbiter.v , 30
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 30
+  Text: reg [ NUMUNITS - 1 : ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 30
+  Text: reg [ NUMUNITS - 1 : ...
+enterData_declaration
+  File: another_arbiter.v , 30
+  Text: reg [ NUMUNITS - 1 : ...
+enterVariable_declaration
+  File: another_arbiter.v , 30
+  Text: reg [ NUMUNITS - 1 : ...
+enterData_type
+  File: another_arbiter.v , 30
+  Text: reg [ NUMUNITS - 1 : ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 30
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 30
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterConstant_range
+  File: another_arbiter.v , 30
+  Text: NUMUNITS - 1 : 0  ...
+enterConstant_expression
+  File: another_arbiter.v , 30
+  Text: NUMUNITS - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 30
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 30
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 30
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 30
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 30
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 30
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 30
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 30
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 30
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 30
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 30
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 30
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 30
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 30
+  Text: grant  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 30
+  Text: grant  ...
+enterIdentifier
+  File: another_arbiter.v , 30
+  Text: grant  ...
+enterModule_item
+  File: another_arbiter.v , 31
+  Text: reg [ NUMUNITS - 1 : ...
+enterNon_port_module_item
+  File: another_arbiter.v , 31
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 31
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_common_item
+  File: another_arbiter.v , 31
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 31
+  Text: reg [ NUMUNITS - 1 : ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 31
+  Text: reg [ NUMUNITS - 1 : ...
+enterData_declaration
+  File: another_arbiter.v , 31
+  Text: reg [ NUMUNITS - 1 : ...
+enterVariable_declaration
+  File: another_arbiter.v , 31
+  Text: reg [ NUMUNITS - 1 : ...
+enterData_type
+  File: another_arbiter.v , 31
+  Text: reg [ NUMUNITS - 1 : ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 31
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 31
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterConstant_range
+  File: another_arbiter.v , 31
+  Text: NUMUNITS - 1 : 0  ...
+enterConstant_expression
+  File: another_arbiter.v , 31
+  Text: NUMUNITS - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 31
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 31
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 31
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 31
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 31
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 31
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 31
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 31
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 31
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 31
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 31
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 31
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 31
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 31
+  Text: grantD  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 31
+  Text: grantD  ...
+enterIdentifier
+  File: another_arbiter.v , 31
+  Text: grantD  ...
+enterModule_item
+  File: another_arbiter.v , 32
+  Text: reg [ ADDRESSWIDTH - ...
+enterNon_port_module_item
+  File: another_arbiter.v , 32
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 32
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_common_item
+  File: another_arbiter.v , 32
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 32
+  Text: reg [ ADDRESSWIDTH - ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 32
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_declaration
+  File: another_arbiter.v , 32
+  Text: reg [ ADDRESSWIDTH - ...
+enterVariable_declaration
+  File: another_arbiter.v , 32
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_type
+  File: another_arbiter.v , 32
+  Text: reg [ ADDRESSWIDTH - ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 32
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 32
+  Text: [ ADDRESSWIDTH - 1 : ...
+enterConstant_range
+  File: another_arbiter.v , 32
+  Text: ADDRESSWIDTH - 1 : 0 ...
+enterConstant_expression
+  File: another_arbiter.v , 32
+  Text: ADDRESSWIDTH - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 32
+  Text: ADDRESSWIDTH  ...
+enterConstant_primary
+  File: another_arbiter.v , 32
+  Text: ADDRESSWIDTH  ...
+enterPrimary_literal
+  File: another_arbiter.v , 32
+  Text: ADDRESSWIDTH  ...
+enterIdentifier
+  File: another_arbiter.v , 32
+  Text: ADDRESSWIDTH  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 32
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 32
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 32
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 32
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 32
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 32
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 32
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 32
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 32
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 32
+  Text: next  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 32
+  Text: next  ...
+enterIdentifier
+  File: another_arbiter.v , 32
+  Text: next  ...
+enterModule_item
+  File: another_arbiter.v , 33
+  Text: reg [ ADDRESSWIDTH - ...
+enterNon_port_module_item
+  File: another_arbiter.v , 33
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 33
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_common_item
+  File: another_arbiter.v , 33
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 33
+  Text: reg [ ADDRESSWIDTH - ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 33
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_declaration
+  File: another_arbiter.v , 33
+  Text: reg [ ADDRESSWIDTH - ...
+enterVariable_declaration
+  File: another_arbiter.v , 33
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_type
+  File: another_arbiter.v , 33
+  Text: reg [ ADDRESSWIDTH - ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 33
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 33
+  Text: [ ADDRESSWIDTH - 1 : ...
+enterConstant_range
+  File: another_arbiter.v , 33
+  Text: ADDRESSWIDTH - 1 : 0 ...
+enterConstant_expression
+  File: another_arbiter.v , 33
+  Text: ADDRESSWIDTH - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 33
+  Text: ADDRESSWIDTH  ...
+enterConstant_primary
+  File: another_arbiter.v , 33
+  Text: ADDRESSWIDTH  ...
+enterPrimary_literal
+  File: another_arbiter.v , 33
+  Text: ADDRESSWIDTH  ...
+enterIdentifier
+  File: another_arbiter.v , 33
+  Text: ADDRESSWIDTH  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 33
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 33
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 33
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 33
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 33
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 33
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 33
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 33
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 33
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 33
+  Text: nextNext  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 33
+  Text: nextNext  ...
+enterIdentifier
+  File: another_arbiter.v , 33
+  Text: nextNext  ...
+enterModule_item
+  File: another_arbiter.v , 34
+  Text: reg [ ADDRESSWIDTH - ...
+enterNon_port_module_item
+  File: another_arbiter.v , 34
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 34
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_common_item
+  File: another_arbiter.v , 34
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 34
+  Text: reg [ ADDRESSWIDTH - ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 34
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_declaration
+  File: another_arbiter.v , 34
+  Text: reg [ ADDRESSWIDTH - ...
+enterVariable_declaration
+  File: another_arbiter.v , 34
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_type
+  File: another_arbiter.v , 34
+  Text: reg [ ADDRESSWIDTH - ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 34
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 34
+  Text: [ ADDRESSWIDTH - 1 : ...
+enterConstant_range
+  File: another_arbiter.v , 34
+  Text: ADDRESSWIDTH - 1 : 0 ...
+enterConstant_expression
+  File: another_arbiter.v , 34
+  Text: ADDRESSWIDTH - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 34
+  Text: ADDRESSWIDTH  ...
+enterConstant_primary
+  File: another_arbiter.v , 34
+  Text: ADDRESSWIDTH  ...
+enterPrimary_literal
+  File: another_arbiter.v , 34
+  Text: ADDRESSWIDTH  ...
+enterIdentifier
+  File: another_arbiter.v , 34
+  Text: ADDRESSWIDTH  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 34
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 34
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 34
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 34
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 34
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 34
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 34
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 34
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 34
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 34
+  Text: scan [ NUMUNITS - 1  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 34
+  Text: scan [ NUMUNITS - 1  ...
+enterIdentifier
+  File: another_arbiter.v , 34
+  Text: scan  ...
+enterVariable_dimension
+  File: another_arbiter.v , 34
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterUnpacked_dimension
+  File: another_arbiter.v , 34
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterConstant_range
+  File: another_arbiter.v , 34
+  Text: NUMUNITS - 1 : 0  ...
+enterConstant_expression
+  File: another_arbiter.v , 34
+  Text: NUMUNITS - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 34
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 34
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 34
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 34
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 34
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 34
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 34
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 34
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 34
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 34
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 34
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 34
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 34
+  Text: 0  ...
+enterModule_item
+  File: another_arbiter.v , 36
+  Text: reg [ NUMUNITS - 2 : ...
+enterNon_port_module_item
+  File: another_arbiter.v , 36
+  Text: reg [ NUMUNITS - 2 : ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 36
+  Text: reg [ NUMUNITS - 2 : ...
+enterModule_common_item
+  File: another_arbiter.v , 36
+  Text: reg [ NUMUNITS - 2 : ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 36
+  Text: reg [ NUMUNITS - 2 : ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 36
+  Text: reg [ NUMUNITS - 2 : ...
+enterData_declaration
+  File: another_arbiter.v , 36
+  Text: reg [ NUMUNITS - 2 : ...
+enterVariable_declaration
+  File: another_arbiter.v , 36
+  Text: reg [ NUMUNITS - 2 : ...
+enterData_type
+  File: another_arbiter.v , 36
+  Text: reg [ NUMUNITS - 2 : ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 36
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 36
+  Text: [ NUMUNITS - 2 : 0 ] ...
+enterConstant_range
+  File: another_arbiter.v , 36
+  Text: NUMUNITS - 2 : 0  ...
+enterConstant_expression
+  File: another_arbiter.v , 36
+  Text: NUMUNITS - 2  ...
+enterConstant_expression
+  File: another_arbiter.v , 36
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 36
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 36
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 36
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 36
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 36
+  Text: 2  ...
+enterConstant_primary
+  File: another_arbiter.v , 36
+  Text: 2  ...
+enterPrimary_literal
+  File: another_arbiter.v , 36
+  Text: 2  ...
+enterNumber_Integral
+  File: another_arbiter.v , 36
+  Text: 2  ...
+enterConstant_expression
+  File: another_arbiter.v , 36
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 36
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 36
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 36
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 36
+  Text: found  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 36
+  Text: found  ...
+enterIdentifier
+  File: another_arbiter.v , 36
+  Text: found  ...
+enterModule_item
+  File: another_arbiter.v , 38
+  Text: reg [ ADDRESSWIDTH - ...
+enterNon_port_module_item
+  File: another_arbiter.v , 38
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 38
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_common_item
+  File: another_arbiter.v , 38
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 38
+  Text: reg [ ADDRESSWIDTH - ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 38
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_declaration
+  File: another_arbiter.v , 38
+  Text: reg [ ADDRESSWIDTH - ...
+enterVariable_declaration
+  File: another_arbiter.v , 38
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_type
+  File: another_arbiter.v , 38
+  Text: reg [ ADDRESSWIDTH - ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 38
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 38
+  Text: [ ADDRESSWIDTH - 1 : ...
+enterConstant_range
+  File: another_arbiter.v , 38
+  Text: ADDRESSWIDTH - 1 : 0 ...
+enterConstant_expression
+  File: another_arbiter.v , 38
+  Text: ADDRESSWIDTH - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 38
+  Text: ADDRESSWIDTH  ...
+enterConstant_primary
+  File: another_arbiter.v , 38
+  Text: ADDRESSWIDTH  ...
+enterPrimary_literal
+  File: another_arbiter.v , 38
+  Text: ADDRESSWIDTH  ...
+enterIdentifier
+  File: another_arbiter.v , 38
+  Text: ADDRESSWIDTH  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 38
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 38
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 38
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 38
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 38
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 38
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 38
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 38
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 38
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 38
+  Text: selectPrio [ NUMUNIT ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 38
+  Text: selectPrio [ NUMUNIT ...
+enterIdentifier
+  File: another_arbiter.v , 38
+  Text: selectPrio  ...
+enterVariable_dimension
+  File: another_arbiter.v , 38
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterUnpacked_dimension
+  File: another_arbiter.v , 38
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterConstant_range
+  File: another_arbiter.v , 38
+  Text: NUMUNITS - 1 : 0  ...
+enterConstant_expression
+  File: another_arbiter.v , 38
+  Text: NUMUNITS - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 38
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 38
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 38
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 38
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 38
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 38
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 38
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 38
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 38
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 38
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 38
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 38
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 38
+  Text: 0  ...
+enterModule_item
+  File: another_arbiter.v , 40
+  Text: reg [ ADDRESSWIDTH - ...
+enterNon_port_module_item
+  File: another_arbiter.v , 40
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 40
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_common_item
+  File: another_arbiter.v , 40
+  Text: reg [ ADDRESSWIDTH - ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 40
+  Text: reg [ ADDRESSWIDTH - ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 40
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_declaration
+  File: another_arbiter.v , 40
+  Text: reg [ ADDRESSWIDTH - ...
+enterVariable_declaration
+  File: another_arbiter.v , 40
+  Text: reg [ ADDRESSWIDTH - ...
+enterData_type
+  File: another_arbiter.v , 40
+  Text: reg [ ADDRESSWIDTH - ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 40
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 40
+  Text: [ ADDRESSWIDTH - 1 : ...
+enterConstant_range
+  File: another_arbiter.v , 40
+  Text: ADDRESSWIDTH - 1 : 0 ...
+enterConstant_expression
+  File: another_arbiter.v , 40
+  Text: ADDRESSWIDTH - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 40
+  Text: ADDRESSWIDTH  ...
+enterConstant_primary
+  File: another_arbiter.v , 40
+  Text: ADDRESSWIDTH  ...
+enterPrimary_literal
+  File: another_arbiter.v , 40
+  Text: ADDRESSWIDTH  ...
+enterIdentifier
+  File: another_arbiter.v , 40
+  Text: ADDRESSWIDTH  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 40
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 40
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 40
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 40
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 40
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 40
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 40
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 40
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 40
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 40
+  Text: min  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 40
+  Text: min  ...
+enterIdentifier
+  File: another_arbiter.v , 40
+  Text: min  ...
+enterModule_item
+  File: another_arbiter.v , 42
+  Text: reg [ NUMUNITS - 1 : ...
+enterNon_port_module_item
+  File: another_arbiter.v , 42
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 42
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_common_item
+  File: another_arbiter.v , 42
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 42
+  Text: reg [ NUMUNITS - 1 : ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 42
+  Text: reg [ NUMUNITS - 1 : ...
+enterData_declaration
+  File: another_arbiter.v , 42
+  Text: reg [ NUMUNITS - 1 : ...
+enterVariable_declaration
+  File: another_arbiter.v , 42
+  Text: reg [ NUMUNITS - 1 : ...
+enterData_type
+  File: another_arbiter.v , 42
+  Text: reg [ NUMUNITS - 1 : ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 42
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 42
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterConstant_range
+  File: another_arbiter.v , 42
+  Text: NUMUNITS - 1 : 0  ...
+enterConstant_expression
+  File: another_arbiter.v , 42
+  Text: NUMUNITS - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 42
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 42
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 42
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 42
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 42
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 42
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 42
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 42
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 42
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 42
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 42
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 42
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 42
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 42
+  Text: minPrio  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 42
+  Text: minPrio  ...
+enterIdentifier
+  File: another_arbiter.v , 42
+  Text: minPrio  ...
+enterModule_item
+  File: another_arbiter.v , 44
+  Text: wire [ NUMUNITS - 1  ...
+enterNon_port_module_item
+  File: another_arbiter.v , 44
+  Text: wire [ NUMUNITS - 1  ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 44
+  Text: wire [ NUMUNITS - 1  ...
+enterModule_common_item
+  File: another_arbiter.v , 44
+  Text: wire [ NUMUNITS - 1  ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 44
+  Text: wire [ NUMUNITS - 1  ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 44
+  Text: wire [ NUMUNITS - 1  ...
+enterNet_declaration
+  File: another_arbiter.v , 44
+  Text: wire [ NUMUNITS - 1  ...
+enterNetType_Wire
+  File: another_arbiter.v , 44
+  Text: wire  ...
+enterData_type_or_implicit
+  File: another_arbiter.v , 44
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterPacked_dimension
+  File: another_arbiter.v , 44
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterConstant_range
+  File: another_arbiter.v , 44
+  Text: NUMUNITS - 1 : 0  ...
+enterConstant_expression
+  File: another_arbiter.v , 44
+  Text: NUMUNITS - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 44
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 44
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 44
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 44
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 44
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 44
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 44
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 44
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 44
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 44
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 44
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 44
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 44
+  Text: 0  ...
+enterList_of_net_decl_assignments
+  File: another_arbiter.v , 44
+  Text: prioRequest  ...
+enterNet_decl_assignment
+  File: another_arbiter.v , 44
+  Text: prioRequest  ...
+enterIdentifier
+  File: another_arbiter.v , 44
+  Text: prioRequest  ...
+enterModule_item
+  File: another_arbiter.v , 46
+  Text: reg [ NUMUNITS - 1 : ...
+enterNon_port_module_item
+  File: another_arbiter.v , 46
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 46
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_common_item
+  File: another_arbiter.v , 46
+  Text: reg [ NUMUNITS - 1 : ...
+enterModule_or_generate_item_declaration
+  File: another_arbiter.v , 46
+  Text: reg [ NUMUNITS - 1 : ...
+enterPackage_or_generate_item_declaration
+  File: another_arbiter.v , 46
+  Text: reg [ NUMUNITS - 1 : ...
+enterData_declaration
+  File: another_arbiter.v , 46
+  Text: reg [ NUMUNITS - 1 : ...
+enterVariable_declaration
+  File: another_arbiter.v , 46
+  Text: reg [ NUMUNITS - 1 : ...
+enterData_type
+  File: another_arbiter.v , 46
+  Text: reg [ NUMUNITS - 1 : ...
+enterIntVec_TypeReg
+  File: another_arbiter.v , 46
+  Text: reg  ...
+enterPacked_dimension
+  File: another_arbiter.v , 46
+  Text: [ NUMUNITS - 1 : 0 ] ...
+enterConstant_range
+  File: another_arbiter.v , 46
+  Text: NUMUNITS - 1 : 0  ...
+enterConstant_expression
+  File: another_arbiter.v , 46
+  Text: NUMUNITS - 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 46
+  Text: NUMUNITS  ...
+enterConstant_primary
+  File: another_arbiter.v , 46
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 46
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 46
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 46
+  Text: -  ...
+enterConstant_expression
+  File: another_arbiter.v , 46
+  Text: 1  ...
+enterConstant_primary
+  File: another_arbiter.v , 46
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 46
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 46
+  Text: 1  ...
+enterConstant_expression
+  File: another_arbiter.v , 46
+  Text: 0  ...
+enterConstant_primary
+  File: another_arbiter.v , 46
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 46
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 46
+  Text: 0  ...
+enterList_of_variable_decl_assignments
+  File: another_arbiter.v , 46
+  Text: finalRequest  ...
+enterVariable_decl_assignment
+  File: another_arbiter.v , 46
+  Text: finalRequest  ...
+enterIdentifier
+  File: another_arbiter.v , 46
+  Text: finalRequest  ...
+enterModule_item
+  File: another_arbiter.v , 49
+  Text: always @ ( posedge c ...
+enterNon_port_module_item
+  File: another_arbiter.v , 49
+  Text: always @ ( posedge c ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 49
+  Text: always @ ( posedge c ...
+enterModule_common_item
+  File: another_arbiter.v , 49
+  Text: always @ ( posedge c ...
+enterAlways_construct
+  File: another_arbiter.v , 49
+  Text: always @ ( posedge c ...
+enterAlwaysKeywd_Always
+  File: another_arbiter.v , 49
+  Text: always  ...
+enterStatement
+  File: another_arbiter.v , 49
+  Text: @ ( posedge clock )  ...
+enterStatement_item
+  File: another_arbiter.v , 49
+  Text: @ ( posedge clock )  ...
+enterProcedural_timing_control_statement
+  File: another_arbiter.v , 49
+  Text: @ ( posedge clock )  ...
+enterProcedural_timing_control
+  File: another_arbiter.v , 49
+  Text: @ ( posedge clock )  ...
+enterEvent_control
+  File: another_arbiter.v , 49
+  Text: @ ( posedge clock )  ...
+enterEvent_expression
+  File: another_arbiter.v , 49
+  Text: posedge clock  ...
+enterEdge_Posedge
+  File: another_arbiter.v , 49
+  Text: posedge  ...
+enterExpression
+  File: another_arbiter.v , 49
+  Text: clock  ...
+enterPrimary
+  File: another_arbiter.v , 49
+  Text: clock  ...
+enterPrimary_literal
+  File: another_arbiter.v , 49
+  Text: clock  ...
+enterIdentifier
+  File: another_arbiter.v , 49
+  Text: clock  ...
+enterStatement_or_null
+  File: another_arbiter.v , 50
+  Text: begin if ( ! reset ) ...
+enterStatement
+  File: another_arbiter.v , 50
+  Text: begin if ( ! reset ) ...
+enterStatement_item
+  File: another_arbiter.v , 50
+  Text: begin if ( ! reset ) ...
+enterSeq_block
+  File: another_arbiter.v , 50
+  Text: begin if ( ! reset ) ...
+enterStatement_or_null
+  File: another_arbiter.v , 51
+  Text: if ( ! reset ) grant ...
+enterStatement
+  File: another_arbiter.v , 51
+  Text: if ( ! reset ) grant ...
+enterStatement_item
+  File: another_arbiter.v , 51
+  Text: if ( ! reset ) grant ...
+enterConditional_statement
+  File: another_arbiter.v , 51
+  Text: if ( ! reset ) grant ...
+enterCond_predicate
+  File: another_arbiter.v , 51
+  Text: ! reset  ...
+enterExpression_or_cond_pattern
+  File: another_arbiter.v , 51
+  Text: ! reset  ...
+enterExpression
+  File: another_arbiter.v , 51
+  Text: ! reset  ...
+enterUnary_Not
+  File: another_arbiter.v , 51
+  Text: !  ...
+enterPrimary
+  File: another_arbiter.v , 51
+  Text: reset  ...
+enterPrimary_literal
+  File: another_arbiter.v , 51
+  Text: reset  ...
+enterIdentifier
+  File: another_arbiter.v , 51
+  Text: reset  ...
+enterStatement_or_null
+  File: another_arbiter.v , 51
+  Text: grant <= 0 ;  ...
+enterStatement
+  File: another_arbiter.v , 51
+  Text: grant <= 0 ;  ...
+enterStatement_item
+  File: another_arbiter.v , 51
+  Text: grant <= 0 ;  ...
+enterNonblocking_assignment
+  File: another_arbiter.v , 51
+  Text: grant <= 0  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 51
+  Text: grant  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 51
+  Text: grant  ...
+enterSelect
+  File: another_arbiter.v , 51
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 51
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 51
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 51
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 51
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 51
+  Text: 0  ...
+enterStatement_or_null
+  File: another_arbiter.v , 52
+  Text: grant <= grantD ;  ...
+enterStatement
+  File: another_arbiter.v , 52
+  Text: grant <= grantD ;  ...
+enterStatement_item
+  File: another_arbiter.v , 52
+  Text: grant <= grantD ;  ...
+enterNonblocking_assignment
+  File: another_arbiter.v , 52
+  Text: grant <= grantD  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 52
+  Text: grant  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 52
+  Text: grant  ...
+enterSelect
+  File: another_arbiter.v , 52
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 52
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 52
+  Text: grantD  ...
+enterPrimary
+  File: another_arbiter.v , 52
+  Text: grantD  ...
+enterPrimary_literal
+  File: another_arbiter.v , 52
+  Text: grantD  ...
+enterIdentifier
+  File: another_arbiter.v , 52
+  Text: grantD  ...
+enterEnd
+  File: another_arbiter.v , 53
+  Text: end  ...
+enterModule_item
+  File: another_arbiter.v , 55
+  Text: always @ ( posedge c ...
+enterNon_port_module_item
+  File: another_arbiter.v , 55
+  Text: always @ ( posedge c ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 55
+  Text: always @ ( posedge c ...
+enterModule_common_item
+  File: another_arbiter.v , 55
+  Text: always @ ( posedge c ...
+enterAlways_construct
+  File: another_arbiter.v , 55
+  Text: always @ ( posedge c ...
+enterAlwaysKeywd_Always
+  File: another_arbiter.v , 55
+  Text: always  ...
+enterStatement
+  File: another_arbiter.v , 55
+  Text: @ ( posedge clock )  ...
+enterStatement_item
+  File: another_arbiter.v , 55
+  Text: @ ( posedge clock )  ...
+enterProcedural_timing_control_statement
+  File: another_arbiter.v , 55
+  Text: @ ( posedge clock )  ...
+enterProcedural_timing_control
+  File: another_arbiter.v , 55
+  Text: @ ( posedge clock )  ...
+enterEvent_control
+  File: another_arbiter.v , 55
+  Text: @ ( posedge clock )  ...
+enterEvent_expression
+  File: another_arbiter.v , 55
+  Text: posedge clock  ...
+enterEdge_Posedge
+  File: another_arbiter.v , 55
+  Text: posedge  ...
+enterExpression
+  File: another_arbiter.v , 55
+  Text: clock  ...
+enterPrimary
+  File: another_arbiter.v , 55
+  Text: clock  ...
+enterPrimary_literal
+  File: another_arbiter.v , 55
+  Text: clock  ...
+enterIdentifier
+  File: another_arbiter.v , 55
+  Text: clock  ...
+enterStatement_or_null
+  File: another_arbiter.v , 56
+  Text: begin if ( ! reset ) ...
+enterStatement
+  File: another_arbiter.v , 56
+  Text: begin if ( ! reset ) ...
+enterStatement_item
+  File: another_arbiter.v , 56
+  Text: begin if ( ! reset ) ...
+enterSeq_block
+  File: another_arbiter.v , 56
+  Text: begin if ( ! reset ) ...
+enterStatement_or_null
+  File: another_arbiter.v , 57
+  Text: if ( ! reset ) next  ...
+enterStatement
+  File: another_arbiter.v , 57
+  Text: if ( ! reset ) next  ...
+enterStatement_item
+  File: another_arbiter.v , 57
+  Text: if ( ! reset ) next  ...
+enterConditional_statement
+  File: another_arbiter.v , 57
+  Text: if ( ! reset ) next  ...
+enterCond_predicate
+  File: another_arbiter.v , 57
+  Text: ! reset  ...
+enterExpression_or_cond_pattern
+  File: another_arbiter.v , 57
+  Text: ! reset  ...
+enterExpression
+  File: another_arbiter.v , 57
+  Text: ! reset  ...
+enterUnary_Not
+  File: another_arbiter.v , 57
+  Text: !  ...
+enterPrimary
+  File: another_arbiter.v , 57
+  Text: reset  ...
+enterPrimary_literal
+  File: another_arbiter.v , 57
+  Text: reset  ...
+enterIdentifier
+  File: another_arbiter.v , 57
+  Text: reset  ...
+enterStatement_or_null
+  File: another_arbiter.v , 57
+  Text: next <= 0 ;  ...
+enterStatement
+  File: another_arbiter.v , 57
+  Text: next <= 0 ;  ...
+enterStatement_item
+  File: another_arbiter.v , 57
+  Text: next <= 0 ;  ...
+enterNonblocking_assignment
+  File: another_arbiter.v , 57
+  Text: next <= 0  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 57
+  Text: next  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 57
+  Text: next  ...
+enterSelect
+  File: another_arbiter.v , 57
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 57
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 57
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 57
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 57
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 57
+  Text: 0  ...
+enterStatement_or_null
+  File: another_arbiter.v , 58
+  Text: next <= nextNext ;  ...
+enterStatement
+  File: another_arbiter.v , 58
+  Text: next <= nextNext ;  ...
+enterStatement_item
+  File: another_arbiter.v , 58
+  Text: next <= nextNext ;  ...
+enterNonblocking_assignment
+  File: another_arbiter.v , 58
+  Text: next <= nextNext  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 58
+  Text: next  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 58
+  Text: next  ...
+enterSelect
+  File: another_arbiter.v , 58
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 58
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 58
+  Text: nextNext  ...
+enterPrimary
+  File: another_arbiter.v , 58
+  Text: nextNext  ...
+enterPrimary_literal
+  File: another_arbiter.v , 58
+  Text: nextNext  ...
+enterIdentifier
+  File: another_arbiter.v , 58
+  Text: nextNext  ...
+enterEnd
+  File: another_arbiter.v , 59
+  Text: end  ...
+enterModule_item
+  File: another_arbiter.v , 61
+  Text: always @ ( request o ...
+enterNon_port_module_item
+  File: another_arbiter.v , 61
+  Text: always @ ( request o ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 61
+  Text: always @ ( request o ...
+enterModule_common_item
+  File: another_arbiter.v , 61
+  Text: always @ ( request o ...
+enterAlways_construct
+  File: another_arbiter.v , 61
+  Text: always @ ( request o ...
+enterAlwaysKeywd_Always
+  File: another_arbiter.v , 61
+  Text: always  ...
+enterStatement
+  File: another_arbiter.v , 61
+  Text: @ ( request or prio  ...
+enterStatement_item
+  File: another_arbiter.v , 61
+  Text: @ ( request or prio  ...
+enterProcedural_timing_control_statement
+  File: another_arbiter.v , 61
+  Text: @ ( request or prio  ...
+enterProcedural_timing_control
+  File: another_arbiter.v , 61
+  Text: @ ( request or prio  ...
+enterEvent_control
+  File: another_arbiter.v , 61
+  Text: @ ( request or prio  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: request or prio [ 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: request or prio [ 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: request or prio [ 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: request or prio [ 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: request or prio [ 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: request or prio [ 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: request or prio [ 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: request or prio [ 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: request  ...
+enterExpression
+  File: another_arbiter.v , 61
+  Text: request  ...
+enterPrimary
+  File: another_arbiter.v , 61
+  Text: request  ...
+enterPrimary_literal
+  File: another_arbiter.v , 61
+  Text: request  ...
+enterIdentifier
+  File: another_arbiter.v , 61
+  Text: request  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: prio [ 7 ]  ...
+enterExpression
+  File: another_arbiter.v , 61
+  Text: prio [ 7 ]  ...
+enterPrimary
+  File: another_arbiter.v , 61
+  Text: prio [ 7 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 61
+  Text: prio [ 7 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 61
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 61
+  Text: [ 7 ]  ...
+enterBit_select
+  File: another_arbiter.v , 61
+  Text: [ 7 ]  ...
+enterExpression
+  File: another_arbiter.v , 61
+  Text: 7  ...
+enterPrimary
+  File: another_arbiter.v , 61
+  Text: 7  ...
+enterPrimary_literal
+  File: another_arbiter.v , 61
+  Text: 7  ...
+enterNumber_Integral
+  File: another_arbiter.v , 61
+  Text: 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: prio [ 6 ]  ...
+enterExpression
+  File: another_arbiter.v , 61
+  Text: prio [ 6 ]  ...
+enterPrimary
+  File: another_arbiter.v , 61
+  Text: prio [ 6 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 61
+  Text: prio [ 6 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 61
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 61
+  Text: [ 6 ]  ...
+enterBit_select
+  File: another_arbiter.v , 61
+  Text: [ 6 ]  ...
+enterExpression
+  File: another_arbiter.v , 61
+  Text: 6  ...
+enterPrimary
+  File: another_arbiter.v , 61
+  Text: 6  ...
+enterPrimary_literal
+  File: another_arbiter.v , 61
+  Text: 6  ...
+enterNumber_Integral
+  File: another_arbiter.v , 61
+  Text: 6  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: prio [ 5 ]  ...
+enterExpression
+  File: another_arbiter.v , 61
+  Text: prio [ 5 ]  ...
+enterPrimary
+  File: another_arbiter.v , 61
+  Text: prio [ 5 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 61
+  Text: prio [ 5 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 61
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 61
+  Text: [ 5 ]  ...
+enterBit_select
+  File: another_arbiter.v , 61
+  Text: [ 5 ]  ...
+enterExpression
+  File: another_arbiter.v , 61
+  Text: 5  ...
+enterPrimary
+  File: another_arbiter.v , 61
+  Text: 5  ...
+enterPrimary_literal
+  File: another_arbiter.v , 61
+  Text: 5  ...
+enterNumber_Integral
+  File: another_arbiter.v , 61
+  Text: 5  ...
+enterEvent_expression
+  File: another_arbiter.v , 61
+  Text: prio [ 4 ]  ...
+enterExpression
+  File: another_arbiter.v , 61
+  Text: prio [ 4 ]  ...
+enterPrimary
+  File: another_arbiter.v , 61
+  Text: prio [ 4 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 61
+  Text: prio [ 4 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 61
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 61
+  Text: [ 4 ]  ...
+enterBit_select
+  File: another_arbiter.v , 61
+  Text: [ 4 ]  ...
+enterExpression
+  File: another_arbiter.v , 61
+  Text: 4  ...
+enterPrimary
+  File: another_arbiter.v , 61
+  Text: 4  ...
+enterPrimary_literal
+  File: another_arbiter.v , 61
+  Text: 4  ...
+enterNumber_Integral
+  File: another_arbiter.v , 61
+  Text: 4  ...
+enterEvent_expression
+  File: another_arbiter.v , 62
+  Text: prio [ 3 ]  ...
+enterExpression
+  File: another_arbiter.v , 62
+  Text: prio [ 3 ]  ...
+enterPrimary
+  File: another_arbiter.v , 62
+  Text: prio [ 3 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 62
+  Text: prio [ 3 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 62
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 62
+  Text: [ 3 ]  ...
+enterBit_select
+  File: another_arbiter.v , 62
+  Text: [ 3 ]  ...
+enterExpression
+  File: another_arbiter.v , 62
+  Text: 3  ...
+enterPrimary
+  File: another_arbiter.v , 62
+  Text: 3  ...
+enterPrimary_literal
+  File: another_arbiter.v , 62
+  Text: 3  ...
+enterNumber_Integral
+  File: another_arbiter.v , 62
+  Text: 3  ...
+enterEvent_expression
+  File: another_arbiter.v , 62
+  Text: prio [ 2 ]  ...
+enterExpression
+  File: another_arbiter.v , 62
+  Text: prio [ 2 ]  ...
+enterPrimary
+  File: another_arbiter.v , 62
+  Text: prio [ 2 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 62
+  Text: prio [ 2 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 62
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 62
+  Text: [ 2 ]  ...
+enterBit_select
+  File: another_arbiter.v , 62
+  Text: [ 2 ]  ...
+enterExpression
+  File: another_arbiter.v , 62
+  Text: 2  ...
+enterPrimary
+  File: another_arbiter.v , 62
+  Text: 2  ...
+enterPrimary_literal
+  File: another_arbiter.v , 62
+  Text: 2  ...
+enterNumber_Integral
+  File: another_arbiter.v , 62
+  Text: 2  ...
+enterEvent_expression
+  File: another_arbiter.v , 62
+  Text: prio [ 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 62
+  Text: prio [ 1 ]  ...
+enterPrimary
+  File: another_arbiter.v , 62
+  Text: prio [ 1 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 62
+  Text: prio [ 1 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 62
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 62
+  Text: [ 1 ]  ...
+enterBit_select
+  File: another_arbiter.v , 62
+  Text: [ 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 62
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 62
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 62
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 62
+  Text: 1  ...
+enterEvent_expression
+  File: another_arbiter.v , 62
+  Text: prio [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 62
+  Text: prio [ 0 ]  ...
+enterPrimary
+  File: another_arbiter.v , 62
+  Text: prio [ 0 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 62
+  Text: prio [ 0 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 62
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 62
+  Text: [ 0 ]  ...
+enterBit_select
+  File: another_arbiter.v , 62
+  Text: [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 62
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 62
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 62
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 62
+  Text: 0  ...
+enterStatement_or_null
+  File: another_arbiter.v , 63
+  Text: begin for ( k = 0 ;  ...
+enterStatement
+  File: another_arbiter.v , 63
+  Text: begin for ( k = 0 ;  ...
+enterStatement_item
+  File: another_arbiter.v , 63
+  Text: begin for ( k = 0 ;  ...
+enterSeq_block
+  File: another_arbiter.v , 63
+  Text: begin for ( k = 0 ;  ...
+enterStatement_or_null
+  File: another_arbiter.v , 64
+  Text: for ( k = 0 ; k < NU ...
+enterStatement
+  File: another_arbiter.v , 64
+  Text: for ( k = 0 ; k < NU ...
+enterStatement_item
+  File: another_arbiter.v , 64
+  Text: for ( k = 0 ; k < NU ...
+enterLoop_statement
+  File: another_arbiter.v , 64
+  Text: for ( k = 0 ; k < NU ...
+enterFor_initialization
+  File: another_arbiter.v , 64
+  Text: k = 0  ...
+enterList_of_variable_assignments
+  File: another_arbiter.v , 64
+  Text: k = 0  ...
+enterVariable_assignment
+  File: another_arbiter.v , 64
+  Text: k = 0  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterSelect
+  File: another_arbiter.v , 64
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 64
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 64
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 64
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 64
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 64
+  Text: 0  ...
+enterExpression
+  File: another_arbiter.v , 64
+  Text: k < NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterPrimary
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterPrimary_literal
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterIdentifier
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterBinOp_Less
+  File: another_arbiter.v , 64
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 64
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 64
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 64
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 64
+  Text: NUMUNITS  ...
+enterFor_step
+  File: another_arbiter.v , 64
+  Text: k = k + 1  ...
+enterFor_step_assignment
+  File: another_arbiter.v , 64
+  Text: k = k + 1  ...
+enterOperator_assignment
+  File: another_arbiter.v , 64
+  Text: k = k + 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterSelect
+  File: another_arbiter.v , 64
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 64
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 64
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 64
+  Text: k + 1  ...
+enterExpression
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterPrimary
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterPrimary_literal
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterIdentifier
+  File: another_arbiter.v , 64
+  Text: k  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 64
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 64
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 64
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 64
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 64
+  Text: 1  ...
+enterStatement_or_null
+  File: another_arbiter.v , 65
+  Text: selectPrio [ k ] = r ...
+enterStatement
+  File: another_arbiter.v , 65
+  Text: selectPrio [ k ] = r ...
+enterStatement_item
+  File: another_arbiter.v , 65
+  Text: selectPrio [ k ] = r ...
+enterBlocking_assignment
+  File: another_arbiter.v , 65
+  Text: selectPrio [ k ] = r ...
+enterOperator_assignment
+  File: another_arbiter.v , 65
+  Text: selectPrio [ k ] = r ...
+enterVariable_lvalue
+  File: another_arbiter.v , 65
+  Text: selectPrio [ k ]  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 65
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 65
+  Text: [ k ]  ...
+enterBit_select
+  File: another_arbiter.v , 65
+  Text: [ k ]  ...
+enterExpression
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterPrimary
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterPrimary_literal
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterIdentifier
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 65
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 65
+  Text: request [ k ] ? prio ...
+enterExpression
+  File: another_arbiter.v , 65
+  Text: request [ k ]  ...
+enterPrimary
+  File: another_arbiter.v , 65
+  Text: request [ k ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 65
+  Text: request [ k ]  ...
+enterIdentifier
+  File: another_arbiter.v , 65
+  Text: request  ...
+enterSelect
+  File: another_arbiter.v , 65
+  Text: [ k ]  ...
+enterBit_select
+  File: another_arbiter.v , 65
+  Text: [ k ]  ...
+enterExpression
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterPrimary
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterPrimary_literal
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterIdentifier
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterExpression
+  File: another_arbiter.v , 65
+  Text: prio [ k ]  ...
+enterPrimary
+  File: another_arbiter.v , 65
+  Text: prio [ k ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 65
+  Text: prio [ k ]  ...
+enterIdentifier
+  File: another_arbiter.v , 65
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 65
+  Text: [ k ]  ...
+enterBit_select
+  File: another_arbiter.v , 65
+  Text: [ k ]  ...
+enterExpression
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterPrimary
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterPrimary_literal
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterIdentifier
+  File: another_arbiter.v , 65
+  Text: k  ...
+enterExpression
+  File: another_arbiter.v , 65
+  Text: NUMUNITS - 1  ...
+enterExpression
+  File: another_arbiter.v , 65
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 65
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 65
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 65
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 65
+  Text: -  ...
+enterExpression
+  File: another_arbiter.v , 65
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 65
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 65
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 65
+  Text: 1  ...
+enterEnd
+  File: another_arbiter.v , 66
+  Text: end  ...
+enterModule_item
+  File: another_arbiter.v , 68
+  Text: always @ ( prioReque ...
+enterNon_port_module_item
+  File: another_arbiter.v , 68
+  Text: always @ ( prioReque ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 68
+  Text: always @ ( prioReque ...
+enterModule_common_item
+  File: another_arbiter.v , 68
+  Text: always @ ( prioReque ...
+enterAlways_construct
+  File: another_arbiter.v , 68
+  Text: always @ ( prioReque ...
+enterAlwaysKeywd_Always
+  File: another_arbiter.v , 68
+  Text: always  ...
+enterStatement
+  File: another_arbiter.v , 68
+  Text: @ ( prioRequest or r ...
+enterStatement_item
+  File: another_arbiter.v , 68
+  Text: @ ( prioRequest or r ...
+enterProcedural_timing_control_statement
+  File: another_arbiter.v , 68
+  Text: @ ( prioRequest or r ...
+enterProcedural_timing_control
+  File: another_arbiter.v , 68
+  Text: @ ( prioRequest or r ...
+enterEvent_control
+  File: another_arbiter.v , 68
+  Text: @ ( prioRequest or r ...
+enterEvent_expression
+  File: another_arbiter.v , 68
+  Text: prioRequest or reque ...
+enterEvent_expression
+  File: another_arbiter.v , 68
+  Text: prioRequest or reque ...
+enterEvent_expression
+  File: another_arbiter.v , 68
+  Text: prioRequest  ...
+enterExpression
+  File: another_arbiter.v , 68
+  Text: prioRequest  ...
+enterPrimary
+  File: another_arbiter.v , 68
+  Text: prioRequest  ...
+enterPrimary_literal
+  File: another_arbiter.v , 68
+  Text: prioRequest  ...
+enterIdentifier
+  File: another_arbiter.v , 68
+  Text: prioRequest  ...
+enterEvent_expression
+  File: another_arbiter.v , 68
+  Text: request  ...
+enterExpression
+  File: another_arbiter.v , 68
+  Text: request  ...
+enterPrimary
+  File: another_arbiter.v , 68
+  Text: request  ...
+enterPrimary_literal
+  File: another_arbiter.v , 68
+  Text: request  ...
+enterIdentifier
+  File: another_arbiter.v , 68
+  Text: request  ...
+enterEvent_expression
+  File: another_arbiter.v , 68
+  Text: roundORpriority  ...
+enterExpression
+  File: another_arbiter.v , 68
+  Text: roundORpriority  ...
+enterPrimary
+  File: another_arbiter.v , 68
+  Text: roundORpriority  ...
+enterPrimary_literal
+  File: another_arbiter.v , 68
+  Text: roundORpriority  ...
+enterIdentifier
+  File: another_arbiter.v , 68
+  Text: roundORpriority  ...
+enterStatement_or_null
+  File: another_arbiter.v , 69
+  Text: begin for ( r = 0 ;  ...
+enterStatement
+  File: another_arbiter.v , 69
+  Text: begin for ( r = 0 ;  ...
+enterStatement_item
+  File: another_arbiter.v , 69
+  Text: begin for ( r = 0 ;  ...
+enterSeq_block
+  File: another_arbiter.v , 69
+  Text: begin for ( r = 0 ;  ...
+enterStatement_or_null
+  File: another_arbiter.v , 70
+  Text: for ( r = 0 ; r < NU ...
+enterStatement
+  File: another_arbiter.v , 70
+  Text: for ( r = 0 ; r < NU ...
+enterStatement_item
+  File: another_arbiter.v , 70
+  Text: for ( r = 0 ; r < NU ...
+enterLoop_statement
+  File: another_arbiter.v , 70
+  Text: for ( r = 0 ; r < NU ...
+enterFor_initialization
+  File: another_arbiter.v , 70
+  Text: r = 0  ...
+enterList_of_variable_assignments
+  File: another_arbiter.v , 70
+  Text: r = 0  ...
+enterVariable_assignment
+  File: another_arbiter.v , 70
+  Text: r = 0  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterSelect
+  File: another_arbiter.v , 70
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 70
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 70
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 70
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 70
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 70
+  Text: 0  ...
+enterExpression
+  File: another_arbiter.v , 70
+  Text: r < NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterPrimary
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterPrimary_literal
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterIdentifier
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterBinOp_Less
+  File: another_arbiter.v , 70
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 70
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 70
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 70
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 70
+  Text: NUMUNITS  ...
+enterFor_step
+  File: another_arbiter.v , 70
+  Text: r = r + 1  ...
+enterFor_step_assignment
+  File: another_arbiter.v , 70
+  Text: r = r + 1  ...
+enterOperator_assignment
+  File: another_arbiter.v , 70
+  Text: r = r + 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterSelect
+  File: another_arbiter.v , 70
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 70
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 70
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 70
+  Text: r + 1  ...
+enterExpression
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterPrimary
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterPrimary_literal
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterIdentifier
+  File: another_arbiter.v , 70
+  Text: r  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 70
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 70
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 70
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 70
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 70
+  Text: 1  ...
+enterStatement_or_null
+  File: another_arbiter.v , 71
+  Text: finalRequest [ r ] = ...
+enterStatement
+  File: another_arbiter.v , 71
+  Text: finalRequest [ r ] = ...
+enterStatement_item
+  File: another_arbiter.v , 71
+  Text: finalRequest [ r ] = ...
+enterBlocking_assignment
+  File: another_arbiter.v , 71
+  Text: finalRequest [ r ] = ...
+enterOperator_assignment
+  File: another_arbiter.v , 71
+  Text: finalRequest [ r ] = ...
+enterVariable_lvalue
+  File: another_arbiter.v , 71
+  Text: finalRequest [ r ]  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 71
+  Text: finalRequest  ...
+enterSelect
+  File: another_arbiter.v , 71
+  Text: [ r ]  ...
+enterBit_select
+  File: another_arbiter.v , 71
+  Text: [ r ]  ...
+enterExpression
+  File: another_arbiter.v , 71
+  Text: r  ...
+enterPrimary
+  File: another_arbiter.v , 71
+  Text: r  ...
+enterPrimary_literal
+  File: another_arbiter.v , 71
+  Text: r  ...
+enterIdentifier
+  File: another_arbiter.v , 71
+  Text: r  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 71
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 71
+  Text: roundORpriority ? pr ...
+enterExpression
+  File: another_arbiter.v , 71
+  Text: roundORpriority  ...
+enterPrimary
+  File: another_arbiter.v , 71
+  Text: roundORpriority  ...
+enterPrimary_literal
+  File: another_arbiter.v , 71
+  Text: roundORpriority  ...
+enterIdentifier
+  File: another_arbiter.v , 71
+  Text: roundORpriority  ...
+enterExpression
+  File: another_arbiter.v , 71
+  Text: prioRequest [ r ]  ...
+enterPrimary
+  File: another_arbiter.v , 71
+  Text: prioRequest [ r ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 71
+  Text: prioRequest [ r ]  ...
+enterIdentifier
+  File: another_arbiter.v , 71
+  Text: prioRequest  ...
+enterSelect
+  File: another_arbiter.v , 71
+  Text: [ r ]  ...
+enterBit_select
+  File: another_arbiter.v , 71
+  Text: [ r ]  ...
+enterExpression
+  File: another_arbiter.v , 71
+  Text: r  ...
+enterPrimary
+  File: another_arbiter.v , 71
+  Text: r  ...
+enterPrimary_literal
+  File: another_arbiter.v , 71
+  Text: r  ...
+enterIdentifier
+  File: another_arbiter.v , 71
+  Text: r  ...
+enterExpression
+  File: another_arbiter.v , 72
+  Text: request [ r ]  ...
+enterPrimary
+  File: another_arbiter.v , 72
+  Text: request [ r ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 72
+  Text: request [ r ]  ...
+enterIdentifier
+  File: another_arbiter.v , 72
+  Text: request  ...
+enterSelect
+  File: another_arbiter.v , 72
+  Text: [ r ]  ...
+enterBit_select
+  File: another_arbiter.v , 72
+  Text: [ r ]  ...
+enterExpression
+  File: another_arbiter.v , 72
+  Text: r  ...
+enterPrimary
+  File: another_arbiter.v , 72
+  Text: r  ...
+enterPrimary_literal
+  File: another_arbiter.v , 72
+  Text: r  ...
+enterIdentifier
+  File: another_arbiter.v , 72
+  Text: r  ...
+enterEnd
+  File: another_arbiter.v , 73
+  Text: end  ...
+enterModule_item
+  File: another_arbiter.v , 76
+  Text: always @ ( selectPri ...
+enterNon_port_module_item
+  File: another_arbiter.v , 76
+  Text: always @ ( selectPri ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 76
+  Text: always @ ( selectPri ...
+enterModule_common_item
+  File: another_arbiter.v , 76
+  Text: always @ ( selectPri ...
+enterAlways_construct
+  File: another_arbiter.v , 76
+  Text: always @ ( selectPri ...
+enterAlwaysKeywd_Always
+  File: another_arbiter.v , 76
+  Text: always  ...
+enterStatement
+  File: another_arbiter.v , 76
+  Text: @ ( selectPrio [ 7 ] ...
+enterStatement_item
+  File: another_arbiter.v , 76
+  Text: @ ( selectPrio [ 7 ] ...
+enterProcedural_timing_control_statement
+  File: another_arbiter.v , 76
+  Text: @ ( selectPrio [ 7 ] ...
+enterProcedural_timing_control
+  File: another_arbiter.v , 76
+  Text: @ ( selectPrio [ 7 ] ...
+enterEvent_control
+  File: another_arbiter.v , 76
+  Text: @ ( selectPrio [ 7 ] ...
+enterEvent_expression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 7 ] or  ...
+enterEvent_expression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 7 ] or  ...
+enterEvent_expression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 7 ] or  ...
+enterEvent_expression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 7 ] or  ...
+enterEvent_expression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 7 ] or  ...
+enterEvent_expression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 7 ] or  ...
+enterEvent_expression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 7 ] or  ...
+enterEvent_expression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 7 ]  ...
+enterExpression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 7 ]  ...
+enterPrimary
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 7 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 7 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 76
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 76
+  Text: [ 7 ]  ...
+enterBit_select
+  File: another_arbiter.v , 76
+  Text: [ 7 ]  ...
+enterExpression
+  File: another_arbiter.v , 76
+  Text: 7  ...
+enterPrimary
+  File: another_arbiter.v , 76
+  Text: 7  ...
+enterPrimary_literal
+  File: another_arbiter.v , 76
+  Text: 7  ...
+enterNumber_Integral
+  File: another_arbiter.v , 76
+  Text: 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 6 ]  ...
+enterExpression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 6 ]  ...
+enterPrimary
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 6 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 6 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 76
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 76
+  Text: [ 6 ]  ...
+enterBit_select
+  File: another_arbiter.v , 76
+  Text: [ 6 ]  ...
+enterExpression
+  File: another_arbiter.v , 76
+  Text: 6  ...
+enterPrimary
+  File: another_arbiter.v , 76
+  Text: 6  ...
+enterPrimary_literal
+  File: another_arbiter.v , 76
+  Text: 6  ...
+enterNumber_Integral
+  File: another_arbiter.v , 76
+  Text: 6  ...
+enterEvent_expression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 5 ]  ...
+enterExpression
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 5 ]  ...
+enterPrimary
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 5 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 76
+  Text: selectPrio [ 5 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 76
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 76
+  Text: [ 5 ]  ...
+enterBit_select
+  File: another_arbiter.v , 76
+  Text: [ 5 ]  ...
+enterExpression
+  File: another_arbiter.v , 76
+  Text: 5  ...
+enterPrimary
+  File: another_arbiter.v , 76
+  Text: 5  ...
+enterPrimary_literal
+  File: another_arbiter.v , 76
+  Text: 5  ...
+enterNumber_Integral
+  File: another_arbiter.v , 76
+  Text: 5  ...
+enterEvent_expression
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 4 ]  ...
+enterExpression
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 4 ]  ...
+enterPrimary
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 4 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 4 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 77
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 77
+  Text: [ 4 ]  ...
+enterBit_select
+  File: another_arbiter.v , 77
+  Text: [ 4 ]  ...
+enterExpression
+  File: another_arbiter.v , 77
+  Text: 4  ...
+enterPrimary
+  File: another_arbiter.v , 77
+  Text: 4  ...
+enterPrimary_literal
+  File: another_arbiter.v , 77
+  Text: 4  ...
+enterNumber_Integral
+  File: another_arbiter.v , 77
+  Text: 4  ...
+enterEvent_expression
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 3 ]  ...
+enterExpression
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 3 ]  ...
+enterPrimary
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 3 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 3 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 77
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 77
+  Text: [ 3 ]  ...
+enterBit_select
+  File: another_arbiter.v , 77
+  Text: [ 3 ]  ...
+enterExpression
+  File: another_arbiter.v , 77
+  Text: 3  ...
+enterPrimary
+  File: another_arbiter.v , 77
+  Text: 3  ...
+enterPrimary_literal
+  File: another_arbiter.v , 77
+  Text: 3  ...
+enterNumber_Integral
+  File: another_arbiter.v , 77
+  Text: 3  ...
+enterEvent_expression
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 2 ]  ...
+enterExpression
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 2 ]  ...
+enterPrimary
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 2 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 77
+  Text: selectPrio [ 2 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 77
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 77
+  Text: [ 2 ]  ...
+enterBit_select
+  File: another_arbiter.v , 77
+  Text: [ 2 ]  ...
+enterExpression
+  File: another_arbiter.v , 77
+  Text: 2  ...
+enterPrimary
+  File: another_arbiter.v , 77
+  Text: 2  ...
+enterPrimary_literal
+  File: another_arbiter.v , 77
+  Text: 2  ...
+enterNumber_Integral
+  File: another_arbiter.v , 77
+  Text: 2  ...
+enterEvent_expression
+  File: another_arbiter.v , 78
+  Text: selectPrio [ 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 78
+  Text: selectPrio [ 1 ]  ...
+enterPrimary
+  File: another_arbiter.v , 78
+  Text: selectPrio [ 1 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 78
+  Text: selectPrio [ 1 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 78
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 78
+  Text: [ 1 ]  ...
+enterBit_select
+  File: another_arbiter.v , 78
+  Text: [ 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 78
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 78
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 78
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 78
+  Text: 1  ...
+enterEvent_expression
+  File: another_arbiter.v , 78
+  Text: selectPrio [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 78
+  Text: selectPrio [ 0 ]  ...
+enterPrimary
+  File: another_arbiter.v , 78
+  Text: selectPrio [ 0 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 78
+  Text: selectPrio [ 0 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 78
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 78
+  Text: [ 0 ]  ...
+enterBit_select
+  File: another_arbiter.v , 78
+  Text: [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 78
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 78
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 78
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 78
+  Text: 0  ...
+enterStatement_or_null
+  File: another_arbiter.v , 79
+  Text: begin min = selectPr ...
+enterStatement
+  File: another_arbiter.v , 79
+  Text: begin min = selectPr ...
+enterStatement_item
+  File: another_arbiter.v , 79
+  Text: begin min = selectPr ...
+enterSeq_block
+  File: another_arbiter.v , 79
+  Text: begin min = selectPr ...
+enterStatement_or_null
+  File: another_arbiter.v , 80
+  Text: min = selectPrio [ 0 ...
+enterStatement
+  File: another_arbiter.v , 80
+  Text: min = selectPrio [ 0 ...
+enterStatement_item
+  File: another_arbiter.v , 80
+  Text: min = selectPrio [ 0 ...
+enterBlocking_assignment
+  File: another_arbiter.v , 80
+  Text: min = selectPrio [ 0 ...
+enterOperator_assignment
+  File: another_arbiter.v , 80
+  Text: min = selectPrio [ 0 ...
+enterVariable_lvalue
+  File: another_arbiter.v , 80
+  Text: min  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 80
+  Text: min  ...
+enterSelect
+  File: another_arbiter.v , 80
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 80
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 80
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 80
+  Text: selectPrio [ 0 ]  ...
+enterPrimary
+  File: another_arbiter.v , 80
+  Text: selectPrio [ 0 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 80
+  Text: selectPrio [ 0 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 80
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 80
+  Text: [ 0 ]  ...
+enterBit_select
+  File: another_arbiter.v , 80
+  Text: [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 80
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 80
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 80
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 80
+  Text: 0  ...
+enterStatement_or_null
+  File: another_arbiter.v , 81
+  Text: for ( p = 1 ; p < NU ...
+enterStatement
+  File: another_arbiter.v , 81
+  Text: for ( p = 1 ; p < NU ...
+enterStatement_item
+  File: another_arbiter.v , 81
+  Text: for ( p = 1 ; p < NU ...
+enterLoop_statement
+  File: another_arbiter.v , 81
+  Text: for ( p = 1 ; p < NU ...
+enterFor_initialization
+  File: another_arbiter.v , 81
+  Text: p = 1  ...
+enterList_of_variable_assignments
+  File: another_arbiter.v , 81
+  Text: p = 1  ...
+enterVariable_assignment
+  File: another_arbiter.v , 81
+  Text: p = 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterSelect
+  File: another_arbiter.v , 81
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 81
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 81
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 81
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 81
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 81
+  Text: 1  ...
+enterExpression
+  File: another_arbiter.v , 81
+  Text: p < NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterPrimary
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterPrimary_literal
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterIdentifier
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterBinOp_Less
+  File: another_arbiter.v , 81
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 81
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 81
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 81
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 81
+  Text: NUMUNITS  ...
+enterFor_step
+  File: another_arbiter.v , 81
+  Text: p = p + 1  ...
+enterFor_step_assignment
+  File: another_arbiter.v , 81
+  Text: p = p + 1  ...
+enterOperator_assignment
+  File: another_arbiter.v , 81
+  Text: p = p + 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterSelect
+  File: another_arbiter.v , 81
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 81
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 81
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 81
+  Text: p + 1  ...
+enterExpression
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterPrimary
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterPrimary_literal
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterIdentifier
+  File: another_arbiter.v , 81
+  Text: p  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 81
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 81
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 81
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 81
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 81
+  Text: 1  ...
+enterStatement_or_null
+  File: another_arbiter.v , 82
+  Text: if ( selectPrio [ p  ...
+enterStatement
+  File: another_arbiter.v , 82
+  Text: if ( selectPrio [ p  ...
+enterStatement_item
+  File: another_arbiter.v , 82
+  Text: if ( selectPrio [ p  ...
+enterConditional_statement
+  File: another_arbiter.v , 82
+  Text: if ( selectPrio [ p  ...
+enterCond_predicate
+  File: another_arbiter.v , 82
+  Text: selectPrio [ p ] < m ...
+enterExpression_or_cond_pattern
+  File: another_arbiter.v , 82
+  Text: selectPrio [ p ] < m ...
+enterExpression
+  File: another_arbiter.v , 82
+  Text: selectPrio [ p ] < m ...
+enterExpression
+  File: another_arbiter.v , 82
+  Text: selectPrio [ p ]  ...
+enterPrimary
+  File: another_arbiter.v , 82
+  Text: selectPrio [ p ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 82
+  Text: selectPrio [ p ]  ...
+enterIdentifier
+  File: another_arbiter.v , 82
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 82
+  Text: [ p ]  ...
+enterBit_select
+  File: another_arbiter.v , 82
+  Text: [ p ]  ...
+enterExpression
+  File: another_arbiter.v , 82
+  Text: p  ...
+enterPrimary
+  File: another_arbiter.v , 82
+  Text: p  ...
+enterPrimary_literal
+  File: another_arbiter.v , 82
+  Text: p  ...
+enterIdentifier
+  File: another_arbiter.v , 82
+  Text: p  ...
+enterBinOp_Less
+  File: another_arbiter.v , 82
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 82
+  Text: min  ...
+enterPrimary
+  File: another_arbiter.v , 82
+  Text: min  ...
+enterPrimary_literal
+  File: another_arbiter.v , 82
+  Text: min  ...
+enterIdentifier
+  File: another_arbiter.v , 82
+  Text: min  ...
+enterStatement_or_null
+  File: another_arbiter.v , 82
+  Text: min = selectPrio [ p ...
+enterStatement
+  File: another_arbiter.v , 82
+  Text: min = selectPrio [ p ...
+enterStatement_item
+  File: another_arbiter.v , 82
+  Text: min = selectPrio [ p ...
+enterBlocking_assignment
+  File: another_arbiter.v , 82
+  Text: min = selectPrio [ p ...
+enterOperator_assignment
+  File: another_arbiter.v , 82
+  Text: min = selectPrio [ p ...
+enterVariable_lvalue
+  File: another_arbiter.v , 82
+  Text: min  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 82
+  Text: min  ...
+enterSelect
+  File: another_arbiter.v , 82
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 82
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 82
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 82
+  Text: selectPrio [ p ]  ...
+enterPrimary
+  File: another_arbiter.v , 82
+  Text: selectPrio [ p ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 82
+  Text: selectPrio [ p ]  ...
+enterIdentifier
+  File: another_arbiter.v , 82
+  Text: selectPrio  ...
+enterSelect
+  File: another_arbiter.v , 82
+  Text: [ p ]  ...
+enterBit_select
+  File: another_arbiter.v , 82
+  Text: [ p ]  ...
+enterExpression
+  File: another_arbiter.v , 82
+  Text: p  ...
+enterPrimary
+  File: another_arbiter.v , 82
+  Text: p  ...
+enterPrimary_literal
+  File: another_arbiter.v , 82
+  Text: p  ...
+enterIdentifier
+  File: another_arbiter.v , 82
+  Text: p  ...
+enterEnd
+  File: another_arbiter.v , 83
+  Text: end  ...
+enterModule_item
+  File: another_arbiter.v , 85
+  Text: always @ ( min or mi ...
+enterNon_port_module_item
+  File: another_arbiter.v , 85
+  Text: always @ ( min or mi ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 85
+  Text: always @ ( min or mi ...
+enterModule_common_item
+  File: another_arbiter.v , 85
+  Text: always @ ( min or mi ...
+enterAlways_construct
+  File: another_arbiter.v , 85
+  Text: always @ ( min or mi ...
+enterAlwaysKeywd_Always
+  File: another_arbiter.v , 85
+  Text: always  ...
+enterStatement
+  File: another_arbiter.v , 85
+  Text: @ ( min or minPrio o ...
+enterStatement_item
+  File: another_arbiter.v , 85
+  Text: @ ( min or minPrio o ...
+enterProcedural_timing_control_statement
+  File: another_arbiter.v , 85
+  Text: @ ( min or minPrio o ...
+enterProcedural_timing_control
+  File: another_arbiter.v , 85
+  Text: @ ( min or minPrio o ...
+enterEvent_control
+  File: another_arbiter.v , 85
+  Text: @ ( min or minPrio o ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: min or minPrio or pr ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: min or minPrio or pr ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: min or minPrio or pr ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: min or minPrio or pr ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: min or minPrio or pr ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: min or minPrio or pr ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: min or minPrio or pr ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: min or minPrio or pr ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: min or minPrio  ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: min  ...
+enterExpression
+  File: another_arbiter.v , 85
+  Text: min  ...
+enterPrimary
+  File: another_arbiter.v , 85
+  Text: min  ...
+enterPrimary_literal
+  File: another_arbiter.v , 85
+  Text: min  ...
+enterIdentifier
+  File: another_arbiter.v , 85
+  Text: min  ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: minPrio  ...
+enterExpression
+  File: another_arbiter.v , 85
+  Text: minPrio  ...
+enterPrimary
+  File: another_arbiter.v , 85
+  Text: minPrio  ...
+enterPrimary_literal
+  File: another_arbiter.v , 85
+  Text: minPrio  ...
+enterIdentifier
+  File: another_arbiter.v , 85
+  Text: minPrio  ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: prio [ 7 ]  ...
+enterExpression
+  File: another_arbiter.v , 85
+  Text: prio [ 7 ]  ...
+enterPrimary
+  File: another_arbiter.v , 85
+  Text: prio [ 7 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 85
+  Text: prio [ 7 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 85
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 85
+  Text: [ 7 ]  ...
+enterBit_select
+  File: another_arbiter.v , 85
+  Text: [ 7 ]  ...
+enterExpression
+  File: another_arbiter.v , 85
+  Text: 7  ...
+enterPrimary
+  File: another_arbiter.v , 85
+  Text: 7  ...
+enterPrimary_literal
+  File: another_arbiter.v , 85
+  Text: 7  ...
+enterNumber_Integral
+  File: another_arbiter.v , 85
+  Text: 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: prio [ 6 ]  ...
+enterExpression
+  File: another_arbiter.v , 85
+  Text: prio [ 6 ]  ...
+enterPrimary
+  File: another_arbiter.v , 85
+  Text: prio [ 6 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 85
+  Text: prio [ 6 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 85
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 85
+  Text: [ 6 ]  ...
+enterBit_select
+  File: another_arbiter.v , 85
+  Text: [ 6 ]  ...
+enterExpression
+  File: another_arbiter.v , 85
+  Text: 6  ...
+enterPrimary
+  File: another_arbiter.v , 85
+  Text: 6  ...
+enterPrimary_literal
+  File: another_arbiter.v , 85
+  Text: 6  ...
+enterNumber_Integral
+  File: another_arbiter.v , 85
+  Text: 6  ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: prio [ 5 ]  ...
+enterExpression
+  File: another_arbiter.v , 85
+  Text: prio [ 5 ]  ...
+enterPrimary
+  File: another_arbiter.v , 85
+  Text: prio [ 5 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 85
+  Text: prio [ 5 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 85
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 85
+  Text: [ 5 ]  ...
+enterBit_select
+  File: another_arbiter.v , 85
+  Text: [ 5 ]  ...
+enterExpression
+  File: another_arbiter.v , 85
+  Text: 5  ...
+enterPrimary
+  File: another_arbiter.v , 85
+  Text: 5  ...
+enterPrimary_literal
+  File: another_arbiter.v , 85
+  Text: 5  ...
+enterNumber_Integral
+  File: another_arbiter.v , 85
+  Text: 5  ...
+enterEvent_expression
+  File: another_arbiter.v , 85
+  Text: prio [ 4 ]  ...
+enterExpression
+  File: another_arbiter.v , 85
+  Text: prio [ 4 ]  ...
+enterPrimary
+  File: another_arbiter.v , 85
+  Text: prio [ 4 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 85
+  Text: prio [ 4 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 85
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 85
+  Text: [ 4 ]  ...
+enterBit_select
+  File: another_arbiter.v , 85
+  Text: [ 4 ]  ...
+enterExpression
+  File: another_arbiter.v , 85
+  Text: 4  ...
+enterPrimary
+  File: another_arbiter.v , 85
+  Text: 4  ...
+enterPrimary_literal
+  File: another_arbiter.v , 85
+  Text: 4  ...
+enterNumber_Integral
+  File: another_arbiter.v , 85
+  Text: 4  ...
+enterEvent_expression
+  File: another_arbiter.v , 86
+  Text: prio [ 3 ]  ...
+enterExpression
+  File: another_arbiter.v , 86
+  Text: prio [ 3 ]  ...
+enterPrimary
+  File: another_arbiter.v , 86
+  Text: prio [ 3 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 86
+  Text: prio [ 3 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 86
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 86
+  Text: [ 3 ]  ...
+enterBit_select
+  File: another_arbiter.v , 86
+  Text: [ 3 ]  ...
+enterExpression
+  File: another_arbiter.v , 86
+  Text: 3  ...
+enterPrimary
+  File: another_arbiter.v , 86
+  Text: 3  ...
+enterPrimary_literal
+  File: another_arbiter.v , 86
+  Text: 3  ...
+enterNumber_Integral
+  File: another_arbiter.v , 86
+  Text: 3  ...
+enterEvent_expression
+  File: another_arbiter.v , 86
+  Text: prio [ 2 ]  ...
+enterExpression
+  File: another_arbiter.v , 86
+  Text: prio [ 2 ]  ...
+enterPrimary
+  File: another_arbiter.v , 86
+  Text: prio [ 2 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 86
+  Text: prio [ 2 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 86
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 86
+  Text: [ 2 ]  ...
+enterBit_select
+  File: another_arbiter.v , 86
+  Text: [ 2 ]  ...
+enterExpression
+  File: another_arbiter.v , 86
+  Text: 2  ...
+enterPrimary
+  File: another_arbiter.v , 86
+  Text: 2  ...
+enterPrimary_literal
+  File: another_arbiter.v , 86
+  Text: 2  ...
+enterNumber_Integral
+  File: another_arbiter.v , 86
+  Text: 2  ...
+enterEvent_expression
+  File: another_arbiter.v , 86
+  Text: prio [ 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 86
+  Text: prio [ 1 ]  ...
+enterPrimary
+  File: another_arbiter.v , 86
+  Text: prio [ 1 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 86
+  Text: prio [ 1 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 86
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 86
+  Text: [ 1 ]  ...
+enterBit_select
+  File: another_arbiter.v , 86
+  Text: [ 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 86
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 86
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 86
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 86
+  Text: 1  ...
+enterEvent_expression
+  File: another_arbiter.v , 86
+  Text: prio [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 86
+  Text: prio [ 0 ]  ...
+enterPrimary
+  File: another_arbiter.v , 86
+  Text: prio [ 0 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 86
+  Text: prio [ 0 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 86
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 86
+  Text: [ 0 ]  ...
+enterBit_select
+  File: another_arbiter.v , 86
+  Text: [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 86
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 86
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 86
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 86
+  Text: 0  ...
+enterStatement_or_null
+  File: another_arbiter.v , 87
+  Text: begin for ( q = 0 ;  ...
+enterStatement
+  File: another_arbiter.v , 87
+  Text: begin for ( q = 0 ;  ...
+enterStatement_item
+  File: another_arbiter.v , 87
+  Text: begin for ( q = 0 ;  ...
+enterSeq_block
+  File: another_arbiter.v , 87
+  Text: begin for ( q = 0 ;  ...
+enterStatement_or_null
+  File: another_arbiter.v , 88
+  Text: for ( q = 0 ; q < NU ...
+enterStatement
+  File: another_arbiter.v , 88
+  Text: for ( q = 0 ; q < NU ...
+enterStatement_item
+  File: another_arbiter.v , 88
+  Text: for ( q = 0 ; q < NU ...
+enterLoop_statement
+  File: another_arbiter.v , 88
+  Text: for ( q = 0 ; q < NU ...
+enterFor_initialization
+  File: another_arbiter.v , 88
+  Text: q = 0  ...
+enterList_of_variable_assignments
+  File: another_arbiter.v , 88
+  Text: q = 0  ...
+enterVariable_assignment
+  File: another_arbiter.v , 88
+  Text: q = 0  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterSelect
+  File: another_arbiter.v , 88
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 88
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 88
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 88
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 88
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 88
+  Text: 0  ...
+enterExpression
+  File: another_arbiter.v , 88
+  Text: q < NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterPrimary
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterPrimary_literal
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterIdentifier
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterBinOp_Less
+  File: another_arbiter.v , 88
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 88
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 88
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 88
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 88
+  Text: NUMUNITS  ...
+enterFor_step
+  File: another_arbiter.v , 88
+  Text: q = q + 1  ...
+enterFor_step_assignment
+  File: another_arbiter.v , 88
+  Text: q = q + 1  ...
+enterOperator_assignment
+  File: another_arbiter.v , 88
+  Text: q = q + 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterSelect
+  File: another_arbiter.v , 88
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 88
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 88
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 88
+  Text: q + 1  ...
+enterExpression
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterPrimary
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterPrimary_literal
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterIdentifier
+  File: another_arbiter.v , 88
+  Text: q  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 88
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 88
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 88
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 88
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 88
+  Text: 1  ...
+enterStatement_or_null
+  File: another_arbiter.v , 89
+  Text: minPrio [ q ] = ( pr ...
+enterStatement
+  File: another_arbiter.v , 89
+  Text: minPrio [ q ] = ( pr ...
+enterStatement_item
+  File: another_arbiter.v , 89
+  Text: minPrio [ q ] = ( pr ...
+enterBlocking_assignment
+  File: another_arbiter.v , 89
+  Text: minPrio [ q ] = ( pr ...
+enterOperator_assignment
+  File: another_arbiter.v , 89
+  Text: minPrio [ q ] = ( pr ...
+enterVariable_lvalue
+  File: another_arbiter.v , 89
+  Text: minPrio [ q ]  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 89
+  Text: minPrio  ...
+enterSelect
+  File: another_arbiter.v , 89
+  Text: [ q ]  ...
+enterBit_select
+  File: another_arbiter.v , 89
+  Text: [ q ]  ...
+enterExpression
+  File: another_arbiter.v , 89
+  Text: q  ...
+enterPrimary
+  File: another_arbiter.v , 89
+  Text: q  ...
+enterPrimary_literal
+  File: another_arbiter.v , 89
+  Text: q  ...
+enterIdentifier
+  File: another_arbiter.v , 89
+  Text: q  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 89
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 89
+  Text: ( prio [ q ] == min  ...
+enterExpression
+  File: another_arbiter.v , 89
+  Text: ( prio [ q ] == min  ...
+enterPrimary
+  File: another_arbiter.v , 89
+  Text: ( prio [ q ] == min  ...
+enterMintypmax_expression
+  File: another_arbiter.v , 89
+  Text: prio [ q ] == min  ...
+enterExpression
+  File: another_arbiter.v , 89
+  Text: prio [ q ] == min  ...
+enterExpression
+  File: another_arbiter.v , 89
+  Text: prio [ q ]  ...
+enterPrimary
+  File: another_arbiter.v , 89
+  Text: prio [ q ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 89
+  Text: prio [ q ]  ...
+enterIdentifier
+  File: another_arbiter.v , 89
+  Text: prio  ...
+enterSelect
+  File: another_arbiter.v , 89
+  Text: [ q ]  ...
+enterBit_select
+  File: another_arbiter.v , 89
+  Text: [ q ]  ...
+enterExpression
+  File: another_arbiter.v , 89
+  Text: q  ...
+enterPrimary
+  File: another_arbiter.v , 89
+  Text: q  ...
+enterPrimary_literal
+  File: another_arbiter.v , 89
+  Text: q  ...
+enterIdentifier
+  File: another_arbiter.v , 89
+  Text: q  ...
+enterBinOp_Equiv
+  File: another_arbiter.v , 89
+  Text: ==  ...
+enterExpression
+  File: another_arbiter.v , 89
+  Text: min  ...
+enterPrimary
+  File: another_arbiter.v , 89
+  Text: min  ...
+enterPrimary_literal
+  File: another_arbiter.v , 89
+  Text: min  ...
+enterIdentifier
+  File: another_arbiter.v , 89
+  Text: min  ...
+enterExpression
+  File: another_arbiter.v , 89
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 89
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 89
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 89
+  Text: 1  ...
+enterExpression
+  File: another_arbiter.v , 89
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 89
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 89
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 89
+  Text: 0  ...
+enterEnd
+  File: another_arbiter.v , 90
+  Text: end  ...
+enterModule_item
+  File: another_arbiter.v , 92
+  Text: assign prioRequest = ...
+enterNon_port_module_item
+  File: another_arbiter.v , 92
+  Text: assign prioRequest = ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 92
+  Text: assign prioRequest = ...
+enterModule_common_item
+  File: another_arbiter.v , 92
+  Text: assign prioRequest = ...
+enterContinuous_assign
+  File: another_arbiter.v , 92
+  Text: assign prioRequest = ...
+enterList_of_net_assignments
+  File: another_arbiter.v , 92
+  Text: prioRequest = minPri ...
+enterNet_assignment
+  File: another_arbiter.v , 92
+  Text: prioRequest = minPri ...
+enterNet_lvalue
+  File: another_arbiter.v , 92
+  Text: prioRequest  ...
+enterPs_or_hierarchical_identifier
+  File: another_arbiter.v , 92
+  Text: prioRequest  ...
+enterIdentifier
+  File: another_arbiter.v , 92
+  Text: prioRequest  ...
+enterConstant_select
+  File: another_arbiter.v , 92
+  Text:  ...
+enterConstant_bit_select
+  File: another_arbiter.v , 92
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 92
+  Text: minPrio & request  ...
+enterExpression
+  File: another_arbiter.v , 92
+  Text: minPrio  ...
+enterPrimary
+  File: another_arbiter.v , 92
+  Text: minPrio  ...
+enterPrimary_literal
+  File: another_arbiter.v , 92
+  Text: minPrio  ...
+enterIdentifier
+  File: another_arbiter.v , 92
+  Text: minPrio  ...
+enterBinOp_BitwAnd
+  File: another_arbiter.v , 92
+  Text: &  ...
+enterExpression
+  File: another_arbiter.v , 92
+  Text: request  ...
+enterPrimary
+  File: another_arbiter.v , 92
+  Text: request  ...
+enterPrimary_literal
+  File: another_arbiter.v , 92
+  Text: request  ...
+enterIdentifier
+  File: another_arbiter.v , 92
+  Text: request  ...
+enterModule_item
+  File: another_arbiter.v , 94
+  Text: always @ ( next ) be ...
+enterNon_port_module_item
+  File: another_arbiter.v , 94
+  Text: always @ ( next ) be ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 94
+  Text: always @ ( next ) be ...
+enterModule_common_item
+  File: another_arbiter.v , 94
+  Text: always @ ( next ) be ...
+enterAlways_construct
+  File: another_arbiter.v , 94
+  Text: always @ ( next ) be ...
+enterAlwaysKeywd_Always
+  File: another_arbiter.v , 94
+  Text: always  ...
+enterStatement
+  File: another_arbiter.v , 94
+  Text: @ ( next ) begin for ...
+enterStatement_item
+  File: another_arbiter.v , 94
+  Text: @ ( next ) begin for ...
+enterProcedural_timing_control_statement
+  File: another_arbiter.v , 94
+  Text: @ ( next ) begin for ...
+enterProcedural_timing_control
+  File: another_arbiter.v , 94
+  Text: @ ( next )  ...
+enterEvent_control
+  File: another_arbiter.v , 94
+  Text: @ ( next )  ...
+enterEvent_expression
+  File: another_arbiter.v , 94
+  Text: next  ...
+enterExpression
+  File: another_arbiter.v , 94
+  Text: next  ...
+enterPrimary
+  File: another_arbiter.v , 94
+  Text: next  ...
+enterPrimary_literal
+  File: another_arbiter.v , 94
+  Text: next  ...
+enterIdentifier
+  File: another_arbiter.v , 94
+  Text: next  ...
+enterStatement_or_null
+  File: another_arbiter.v , 95
+  Text: begin for ( s = 0 ;  ...
+enterStatement
+  File: another_arbiter.v , 95
+  Text: begin for ( s = 0 ;  ...
+enterStatement_item
+  File: another_arbiter.v , 95
+  Text: begin for ( s = 0 ;  ...
+enterSeq_block
+  File: another_arbiter.v , 95
+  Text: begin for ( s = 0 ;  ...
+enterStatement_or_null
+  File: another_arbiter.v , 96
+  Text: for ( s = 0 ; s < NU ...
+enterStatement
+  File: another_arbiter.v , 96
+  Text: for ( s = 0 ; s < NU ...
+enterStatement_item
+  File: another_arbiter.v , 96
+  Text: for ( s = 0 ; s < NU ...
+enterLoop_statement
+  File: another_arbiter.v , 96
+  Text: for ( s = 0 ; s < NU ...
+enterFor_initialization
+  File: another_arbiter.v , 96
+  Text: s = 0  ...
+enterList_of_variable_assignments
+  File: another_arbiter.v , 96
+  Text: s = 0  ...
+enterVariable_assignment
+  File: another_arbiter.v , 96
+  Text: s = 0  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterSelect
+  File: another_arbiter.v , 96
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 96
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 96
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 96
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 96
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 96
+  Text: 0  ...
+enterExpression
+  File: another_arbiter.v , 96
+  Text: s < NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterPrimary
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterPrimary_literal
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterIdentifier
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterBinOp_Less
+  File: another_arbiter.v , 96
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 96
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 96
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 96
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 96
+  Text: NUMUNITS  ...
+enterFor_step
+  File: another_arbiter.v , 96
+  Text: s = s + 1  ...
+enterFor_step_assignment
+  File: another_arbiter.v , 96
+  Text: s = s + 1  ...
+enterOperator_assignment
+  File: another_arbiter.v , 96
+  Text: s = s + 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterSelect
+  File: another_arbiter.v , 96
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 96
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 96
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 96
+  Text: s + 1  ...
+enterExpression
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterPrimary
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterPrimary_literal
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterIdentifier
+  File: another_arbiter.v , 96
+  Text: s  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 96
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 96
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 96
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 96
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 96
+  Text: 1  ...
+enterStatement_or_null
+  File: another_arbiter.v , 97
+  Text: scan [ s ] = ( next  ...
+enterStatement
+  File: another_arbiter.v , 97
+  Text: scan [ s ] = ( next  ...
+enterStatement_item
+  File: another_arbiter.v , 97
+  Text: scan [ s ] = ( next  ...
+enterBlocking_assignment
+  File: another_arbiter.v , 97
+  Text: scan [ s ] = ( next  ...
+enterOperator_assignment
+  File: another_arbiter.v , 97
+  Text: scan [ s ] = ( next  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 97
+  Text: scan [ s ]  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 97
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 97
+  Text: [ s ]  ...
+enterBit_select
+  File: another_arbiter.v , 97
+  Text: [ s ]  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterPrimary
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterPrimary_literal
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterIdentifier
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 97
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: ( next + s < NUMUNIT ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: ( next + s < NUMUNIT ...
+enterPrimary
+  File: another_arbiter.v , 97
+  Text: ( next + s < NUMUNIT ...
+enterMintypmax_expression
+  File: another_arbiter.v , 97
+  Text: next + s < NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: next + s < NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: next + s  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterPrimary
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterPrimary_literal
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterIdentifier
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 97
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterPrimary
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterPrimary_literal
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterIdentifier
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterBinOp_Less
+  File: another_arbiter.v , 97
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 97
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 97
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 97
+  Text: NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: next + s  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterPrimary
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterPrimary_literal
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterIdentifier
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 97
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterPrimary
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterPrimary_literal
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterIdentifier
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: next + s - NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: next + s  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterPrimary
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterPrimary_literal
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterIdentifier
+  File: another_arbiter.v , 97
+  Text: next  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 97
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterPrimary
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterPrimary_literal
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterIdentifier
+  File: another_arbiter.v , 97
+  Text: s  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 97
+  Text: -  ...
+enterExpression
+  File: another_arbiter.v , 97
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 97
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 97
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 97
+  Text: NUMUNITS  ...
+enterEnd
+  File: another_arbiter.v , 98
+  Text: end  ...
+enterModule_item
+  File: another_arbiter.v , 100
+  Text: always @ ( finalRequ ...
+enterNon_port_module_item
+  File: another_arbiter.v , 100
+  Text: always @ ( finalRequ ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 100
+  Text: always @ ( finalRequ ...
+enterModule_common_item
+  File: another_arbiter.v , 100
+  Text: always @ ( finalRequ ...
+enterAlways_construct
+  File: another_arbiter.v , 100
+  Text: always @ ( finalRequ ...
+enterAlwaysKeywd_Always
+  File: another_arbiter.v , 100
+  Text: always  ...
+enterStatement
+  File: another_arbiter.v , 100
+  Text: @ ( finalRequest or  ...
+enterStatement_item
+  File: another_arbiter.v , 100
+  Text: @ ( finalRequest or  ...
+enterProcedural_timing_control_statement
+  File: another_arbiter.v , 100
+  Text: @ ( finalRequest or  ...
+enterProcedural_timing_control
+  File: another_arbiter.v , 100
+  Text: @ ( finalRequest or  ...
+enterEvent_control
+  File: another_arbiter.v , 100
+  Text: @ ( finalRequest or  ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: finalRequest or scan ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: finalRequest or scan ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: finalRequest or scan ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: finalRequest or scan ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: finalRequest or scan ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: finalRequest or scan ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: finalRequest or scan ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: finalRequest or scan ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: finalRequest  ...
+enterExpression
+  File: another_arbiter.v , 100
+  Text: finalRequest  ...
+enterPrimary
+  File: another_arbiter.v , 100
+  Text: finalRequest  ...
+enterPrimary_literal
+  File: another_arbiter.v , 100
+  Text: finalRequest  ...
+enterIdentifier
+  File: another_arbiter.v , 100
+  Text: finalRequest  ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: scan [ 7 ]  ...
+enterExpression
+  File: another_arbiter.v , 100
+  Text: scan [ 7 ]  ...
+enterPrimary
+  File: another_arbiter.v , 100
+  Text: scan [ 7 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 100
+  Text: scan [ 7 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 100
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 100
+  Text: [ 7 ]  ...
+enterBit_select
+  File: another_arbiter.v , 100
+  Text: [ 7 ]  ...
+enterExpression
+  File: another_arbiter.v , 100
+  Text: 7  ...
+enterPrimary
+  File: another_arbiter.v , 100
+  Text: 7  ...
+enterPrimary_literal
+  File: another_arbiter.v , 100
+  Text: 7  ...
+enterNumber_Integral
+  File: another_arbiter.v , 100
+  Text: 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: scan [ 6 ]  ...
+enterExpression
+  File: another_arbiter.v , 100
+  Text: scan [ 6 ]  ...
+enterPrimary
+  File: another_arbiter.v , 100
+  Text: scan [ 6 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 100
+  Text: scan [ 6 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 100
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 100
+  Text: [ 6 ]  ...
+enterBit_select
+  File: another_arbiter.v , 100
+  Text: [ 6 ]  ...
+enterExpression
+  File: another_arbiter.v , 100
+  Text: 6  ...
+enterPrimary
+  File: another_arbiter.v , 100
+  Text: 6  ...
+enterPrimary_literal
+  File: another_arbiter.v , 100
+  Text: 6  ...
+enterNumber_Integral
+  File: another_arbiter.v , 100
+  Text: 6  ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: scan [ 5 ]  ...
+enterExpression
+  File: another_arbiter.v , 100
+  Text: scan [ 5 ]  ...
+enterPrimary
+  File: another_arbiter.v , 100
+  Text: scan [ 5 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 100
+  Text: scan [ 5 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 100
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 100
+  Text: [ 5 ]  ...
+enterBit_select
+  File: another_arbiter.v , 100
+  Text: [ 5 ]  ...
+enterExpression
+  File: another_arbiter.v , 100
+  Text: 5  ...
+enterPrimary
+  File: another_arbiter.v , 100
+  Text: 5  ...
+enterPrimary_literal
+  File: another_arbiter.v , 100
+  Text: 5  ...
+enterNumber_Integral
+  File: another_arbiter.v , 100
+  Text: 5  ...
+enterEvent_expression
+  File: another_arbiter.v , 100
+  Text: scan [ 4 ]  ...
+enterExpression
+  File: another_arbiter.v , 100
+  Text: scan [ 4 ]  ...
+enterPrimary
+  File: another_arbiter.v , 100
+  Text: scan [ 4 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 100
+  Text: scan [ 4 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 100
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 100
+  Text: [ 4 ]  ...
+enterBit_select
+  File: another_arbiter.v , 100
+  Text: [ 4 ]  ...
+enterExpression
+  File: another_arbiter.v , 100
+  Text: 4  ...
+enterPrimary
+  File: another_arbiter.v , 100
+  Text: 4  ...
+enterPrimary_literal
+  File: another_arbiter.v , 100
+  Text: 4  ...
+enterNumber_Integral
+  File: another_arbiter.v , 100
+  Text: 4  ...
+enterEvent_expression
+  File: another_arbiter.v , 101
+  Text: scan [ 3 ]  ...
+enterExpression
+  File: another_arbiter.v , 101
+  Text: scan [ 3 ]  ...
+enterPrimary
+  File: another_arbiter.v , 101
+  Text: scan [ 3 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 101
+  Text: scan [ 3 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 101
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 101
+  Text: [ 3 ]  ...
+enterBit_select
+  File: another_arbiter.v , 101
+  Text: [ 3 ]  ...
+enterExpression
+  File: another_arbiter.v , 101
+  Text: 3  ...
+enterPrimary
+  File: another_arbiter.v , 101
+  Text: 3  ...
+enterPrimary_literal
+  File: another_arbiter.v , 101
+  Text: 3  ...
+enterNumber_Integral
+  File: another_arbiter.v , 101
+  Text: 3  ...
+enterEvent_expression
+  File: another_arbiter.v , 101
+  Text: scan [ 2 ]  ...
+enterExpression
+  File: another_arbiter.v , 101
+  Text: scan [ 2 ]  ...
+enterPrimary
+  File: another_arbiter.v , 101
+  Text: scan [ 2 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 101
+  Text: scan [ 2 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 101
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 101
+  Text: [ 2 ]  ...
+enterBit_select
+  File: another_arbiter.v , 101
+  Text: [ 2 ]  ...
+enterExpression
+  File: another_arbiter.v , 101
+  Text: 2  ...
+enterPrimary
+  File: another_arbiter.v , 101
+  Text: 2  ...
+enterPrimary_literal
+  File: another_arbiter.v , 101
+  Text: 2  ...
+enterNumber_Integral
+  File: another_arbiter.v , 101
+  Text: 2  ...
+enterEvent_expression
+  File: another_arbiter.v , 101
+  Text: scan [ 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 101
+  Text: scan [ 1 ]  ...
+enterPrimary
+  File: another_arbiter.v , 101
+  Text: scan [ 1 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 101
+  Text: scan [ 1 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 101
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 101
+  Text: [ 1 ]  ...
+enterBit_select
+  File: another_arbiter.v , 101
+  Text: [ 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 101
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 101
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 101
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 101
+  Text: 1  ...
+enterEvent_expression
+  File: another_arbiter.v , 101
+  Text: scan [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 101
+  Text: scan [ 0 ]  ...
+enterPrimary
+  File: another_arbiter.v , 101
+  Text: scan [ 0 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 101
+  Text: scan [ 0 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 101
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 101
+  Text: [ 0 ]  ...
+enterBit_select
+  File: another_arbiter.v , 101
+  Text: [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 101
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 101
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 101
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 101
+  Text: 0  ...
+enterStatement_or_null
+  File: another_arbiter.v , 102
+  Text: begin found [ 0 ] =  ...
+enterStatement
+  File: another_arbiter.v , 102
+  Text: begin found [ 0 ] =  ...
+enterStatement_item
+  File: another_arbiter.v , 102
+  Text: begin found [ 0 ] =  ...
+enterSeq_block
+  File: another_arbiter.v , 102
+  Text: begin found [ 0 ] =  ...
+enterStatement_or_null
+  File: another_arbiter.v , 103
+  Text: found [ 0 ] = finalR ...
+enterStatement
+  File: another_arbiter.v , 103
+  Text: found [ 0 ] = finalR ...
+enterStatement_item
+  File: another_arbiter.v , 103
+  Text: found [ 0 ] = finalR ...
+enterBlocking_assignment
+  File: another_arbiter.v , 103
+  Text: found [ 0 ] = finalR ...
+enterOperator_assignment
+  File: another_arbiter.v , 103
+  Text: found [ 0 ] = finalR ...
+enterVariable_lvalue
+  File: another_arbiter.v , 103
+  Text: found [ 0 ]  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 103
+  Text: found  ...
+enterSelect
+  File: another_arbiter.v , 103
+  Text: [ 0 ]  ...
+enterBit_select
+  File: another_arbiter.v , 103
+  Text: [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 103
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 103
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 103
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 103
+  Text: 0  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 103
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 103
+  Text: finalRequest [ scan  ...
+enterPrimary
+  File: another_arbiter.v , 103
+  Text: finalRequest [ scan  ...
+enterComplex_func_call
+  File: another_arbiter.v , 103
+  Text: finalRequest [ scan  ...
+enterIdentifier
+  File: another_arbiter.v , 103
+  Text: finalRequest  ...
+enterSelect
+  File: another_arbiter.v , 103
+  Text: [ scan [ 0 ] ]  ...
+enterBit_select
+  File: another_arbiter.v , 103
+  Text: [ scan [ 0 ] ]  ...
+enterExpression
+  File: another_arbiter.v , 103
+  Text: scan [ 0 ]  ...
+enterPrimary
+  File: another_arbiter.v , 103
+  Text: scan [ 0 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 103
+  Text: scan [ 0 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 103
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 103
+  Text: [ 0 ]  ...
+enterBit_select
+  File: another_arbiter.v , 103
+  Text: [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 103
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 103
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 103
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 103
+  Text: 0  ...
+enterStatement_or_null
+  File: another_arbiter.v , 104
+  Text: for ( t = 1 ; t < NU ...
+enterStatement
+  File: another_arbiter.v , 104
+  Text: for ( t = 1 ; t < NU ...
+enterStatement_item
+  File: another_arbiter.v , 104
+  Text: for ( t = 1 ; t < NU ...
+enterLoop_statement
+  File: another_arbiter.v , 104
+  Text: for ( t = 1 ; t < NU ...
+enterFor_initialization
+  File: another_arbiter.v , 104
+  Text: t = 1  ...
+enterList_of_variable_assignments
+  File: another_arbiter.v , 104
+  Text: t = 1  ...
+enterVariable_assignment
+  File: another_arbiter.v , 104
+  Text: t = 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterSelect
+  File: another_arbiter.v , 104
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 104
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterExpression
+  File: another_arbiter.v , 104
+  Text: t < NUMUNITS - 1  ...
+enterExpression
+  File: another_arbiter.v , 104
+  Text: t < NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterPrimary
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterPrimary_literal
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterIdentifier
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterBinOp_Less
+  File: another_arbiter.v , 104
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 104
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 104
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 104
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 104
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 104
+  Text: -  ...
+enterExpression
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterFor_step
+  File: another_arbiter.v , 104
+  Text: t = t + 1  ...
+enterFor_step_assignment
+  File: another_arbiter.v , 104
+  Text: t = t + 1  ...
+enterOperator_assignment
+  File: another_arbiter.v , 104
+  Text: t = t + 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterSelect
+  File: another_arbiter.v , 104
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 104
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 104
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 104
+  Text: t + 1  ...
+enterExpression
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterPrimary
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterPrimary_literal
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterIdentifier
+  File: another_arbiter.v , 104
+  Text: t  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 104
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 104
+  Text: 1  ...
+enterStatement_or_null
+  File: another_arbiter.v , 105
+  Text: found [ t ] = found  ...
+enterStatement
+  File: another_arbiter.v , 105
+  Text: found [ t ] = found  ...
+enterStatement_item
+  File: another_arbiter.v , 105
+  Text: found [ t ] = found  ...
+enterBlocking_assignment
+  File: another_arbiter.v , 105
+  Text: found [ t ] = found  ...
+enterOperator_assignment
+  File: another_arbiter.v , 105
+  Text: found [ t ] = found  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 105
+  Text: found [ t ]  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 105
+  Text: found  ...
+enterSelect
+  File: another_arbiter.v , 105
+  Text: [ t ]  ...
+enterBit_select
+  File: another_arbiter.v , 105
+  Text: [ t ]  ...
+enterExpression
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterPrimary
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterPrimary_literal
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterIdentifier
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 105
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 105
+  Text: found [ t - 1 ] || f ...
+enterExpression
+  File: another_arbiter.v , 105
+  Text: found [ t - 1 ]  ...
+enterPrimary
+  File: another_arbiter.v , 105
+  Text: found [ t - 1 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 105
+  Text: found [ t - 1 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 105
+  Text: found  ...
+enterSelect
+  File: another_arbiter.v , 105
+  Text: [ t - 1 ]  ...
+enterBit_select
+  File: another_arbiter.v , 105
+  Text: [ t - 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 105
+  Text: t - 1  ...
+enterExpression
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterPrimary
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterPrimary_literal
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterIdentifier
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 105
+  Text: -  ...
+enterExpression
+  File: another_arbiter.v , 105
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 105
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 105
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 105
+  Text: 1  ...
+enterBinOp_LogicOr
+  File: another_arbiter.v , 105
+  Text: ||  ...
+enterExpression
+  File: another_arbiter.v , 105
+  Text: finalRequest [ scan  ...
+enterPrimary
+  File: another_arbiter.v , 105
+  Text: finalRequest [ scan  ...
+enterComplex_func_call
+  File: another_arbiter.v , 105
+  Text: finalRequest [ scan  ...
+enterIdentifier
+  File: another_arbiter.v , 105
+  Text: finalRequest  ...
+enterSelect
+  File: another_arbiter.v , 105
+  Text: [ scan [ t ] ]  ...
+enterBit_select
+  File: another_arbiter.v , 105
+  Text: [ scan [ t ] ]  ...
+enterExpression
+  File: another_arbiter.v , 105
+  Text: scan [ t ]  ...
+enterPrimary
+  File: another_arbiter.v , 105
+  Text: scan [ t ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 105
+  Text: scan [ t ]  ...
+enterIdentifier
+  File: another_arbiter.v , 105
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 105
+  Text: [ t ]  ...
+enterBit_select
+  File: another_arbiter.v , 105
+  Text: [ t ]  ...
+enterExpression
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterPrimary
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterPrimary_literal
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterIdentifier
+  File: another_arbiter.v , 105
+  Text: t  ...
+enterEnd
+  File: another_arbiter.v , 106
+  Text: end  ...
+enterModule_item
+  File: another_arbiter.v , 108
+  Text: always @ ( finalRequ ...
+enterNon_port_module_item
+  File: another_arbiter.v , 108
+  Text: always @ ( finalRequ ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 108
+  Text: always @ ( finalRequ ...
+enterModule_common_item
+  File: another_arbiter.v , 108
+  Text: always @ ( finalRequ ...
+enterAlways_construct
+  File: another_arbiter.v , 108
+  Text: always @ ( finalRequ ...
+enterAlwaysKeywd_Always
+  File: another_arbiter.v , 108
+  Text: always  ...
+enterStatement
+  File: another_arbiter.v , 108
+  Text: @ ( finalRequest or  ...
+enterStatement_item
+  File: another_arbiter.v , 108
+  Text: @ ( finalRequest or  ...
+enterProcedural_timing_control_statement
+  File: another_arbiter.v , 108
+  Text: @ ( finalRequest or  ...
+enterProcedural_timing_control
+  File: another_arbiter.v , 108
+  Text: @ ( finalRequest or  ...
+enterEvent_control
+  File: another_arbiter.v , 108
+  Text: @ ( finalRequest or  ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: finalRequest or foun ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: finalRequest or foun ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: finalRequest or foun ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: finalRequest or foun ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: finalRequest or foun ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: finalRequest or foun ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: finalRequest or foun ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: finalRequest or foun ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: finalRequest or foun ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: finalRequest  ...
+enterExpression
+  File: another_arbiter.v , 108
+  Text: finalRequest  ...
+enterPrimary
+  File: another_arbiter.v , 108
+  Text: finalRequest  ...
+enterPrimary_literal
+  File: another_arbiter.v , 108
+  Text: finalRequest  ...
+enterIdentifier
+  File: another_arbiter.v , 108
+  Text: finalRequest  ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: found  ...
+enterExpression
+  File: another_arbiter.v , 108
+  Text: found  ...
+enterPrimary
+  File: another_arbiter.v , 108
+  Text: found  ...
+enterPrimary_literal
+  File: another_arbiter.v , 108
+  Text: found  ...
+enterIdentifier
+  File: another_arbiter.v , 108
+  Text: found  ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: scan [ 7 ]  ...
+enterExpression
+  File: another_arbiter.v , 108
+  Text: scan [ 7 ]  ...
+enterPrimary
+  File: another_arbiter.v , 108
+  Text: scan [ 7 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 108
+  Text: scan [ 7 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 108
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 108
+  Text: [ 7 ]  ...
+enterBit_select
+  File: another_arbiter.v , 108
+  Text: [ 7 ]  ...
+enterExpression
+  File: another_arbiter.v , 108
+  Text: 7  ...
+enterPrimary
+  File: another_arbiter.v , 108
+  Text: 7  ...
+enterPrimary_literal
+  File: another_arbiter.v , 108
+  Text: 7  ...
+enterNumber_Integral
+  File: another_arbiter.v , 108
+  Text: 7  ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: scan [ 6 ]  ...
+enterExpression
+  File: another_arbiter.v , 108
+  Text: scan [ 6 ]  ...
+enterPrimary
+  File: another_arbiter.v , 108
+  Text: scan [ 6 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 108
+  Text: scan [ 6 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 108
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 108
+  Text: [ 6 ]  ...
+enterBit_select
+  File: another_arbiter.v , 108
+  Text: [ 6 ]  ...
+enterExpression
+  File: another_arbiter.v , 108
+  Text: 6  ...
+enterPrimary
+  File: another_arbiter.v , 108
+  Text: 6  ...
+enterPrimary_literal
+  File: another_arbiter.v , 108
+  Text: 6  ...
+enterNumber_Integral
+  File: another_arbiter.v , 108
+  Text: 6  ...
+enterEvent_expression
+  File: another_arbiter.v , 108
+  Text: scan [ 5 ]  ...
+enterExpression
+  File: another_arbiter.v , 108
+  Text: scan [ 5 ]  ...
+enterPrimary
+  File: another_arbiter.v , 108
+  Text: scan [ 5 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 108
+  Text: scan [ 5 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 108
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 108
+  Text: [ 5 ]  ...
+enterBit_select
+  File: another_arbiter.v , 108
+  Text: [ 5 ]  ...
+enterExpression
+  File: another_arbiter.v , 108
+  Text: 5  ...
+enterPrimary
+  File: another_arbiter.v , 108
+  Text: 5  ...
+enterPrimary_literal
+  File: another_arbiter.v , 108
+  Text: 5  ...
+enterNumber_Integral
+  File: another_arbiter.v , 108
+  Text: 5  ...
+enterEvent_expression
+  File: another_arbiter.v , 109
+  Text: scan [ 4 ]  ...
+enterExpression
+  File: another_arbiter.v , 109
+  Text: scan [ 4 ]  ...
+enterPrimary
+  File: another_arbiter.v , 109
+  Text: scan [ 4 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 109
+  Text: scan [ 4 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 109
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 109
+  Text: [ 4 ]  ...
+enterBit_select
+  File: another_arbiter.v , 109
+  Text: [ 4 ]  ...
+enterExpression
+  File: another_arbiter.v , 109
+  Text: 4  ...
+enterPrimary
+  File: another_arbiter.v , 109
+  Text: 4  ...
+enterPrimary_literal
+  File: another_arbiter.v , 109
+  Text: 4  ...
+enterNumber_Integral
+  File: another_arbiter.v , 109
+  Text: 4  ...
+enterEvent_expression
+  File: another_arbiter.v , 109
+  Text: scan [ 3 ]  ...
+enterExpression
+  File: another_arbiter.v , 109
+  Text: scan [ 3 ]  ...
+enterPrimary
+  File: another_arbiter.v , 109
+  Text: scan [ 3 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 109
+  Text: scan [ 3 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 109
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 109
+  Text: [ 3 ]  ...
+enterBit_select
+  File: another_arbiter.v , 109
+  Text: [ 3 ]  ...
+enterExpression
+  File: another_arbiter.v , 109
+  Text: 3  ...
+enterPrimary
+  File: another_arbiter.v , 109
+  Text: 3  ...
+enterPrimary_literal
+  File: another_arbiter.v , 109
+  Text: 3  ...
+enterNumber_Integral
+  File: another_arbiter.v , 109
+  Text: 3  ...
+enterEvent_expression
+  File: another_arbiter.v , 109
+  Text: scan [ 2 ]  ...
+enterExpression
+  File: another_arbiter.v , 109
+  Text: scan [ 2 ]  ...
+enterPrimary
+  File: another_arbiter.v , 109
+  Text: scan [ 2 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 109
+  Text: scan [ 2 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 109
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 109
+  Text: [ 2 ]  ...
+enterBit_select
+  File: another_arbiter.v , 109
+  Text: [ 2 ]  ...
+enterExpression
+  File: another_arbiter.v , 109
+  Text: 2  ...
+enterPrimary
+  File: another_arbiter.v , 109
+  Text: 2  ...
+enterPrimary_literal
+  File: another_arbiter.v , 109
+  Text: 2  ...
+enterNumber_Integral
+  File: another_arbiter.v , 109
+  Text: 2  ...
+enterEvent_expression
+  File: another_arbiter.v , 109
+  Text: scan [ 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 109
+  Text: scan [ 1 ]  ...
+enterPrimary
+  File: another_arbiter.v , 109
+  Text: scan [ 1 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 109
+  Text: scan [ 1 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 109
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 109
+  Text: [ 1 ]  ...
+enterBit_select
+  File: another_arbiter.v , 109
+  Text: [ 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 109
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 109
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 109
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 109
+  Text: 1  ...
+enterEvent_expression
+  File: another_arbiter.v , 109
+  Text: scan [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 109
+  Text: scan [ 0 ]  ...
+enterPrimary
+  File: another_arbiter.v , 109
+  Text: scan [ 0 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 109
+  Text: scan [ 0 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 109
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 109
+  Text: [ 0 ]  ...
+enterBit_select
+  File: another_arbiter.v , 109
+  Text: [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 109
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 109
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 109
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 109
+  Text: 0  ...
+enterStatement_or_null
+  File: another_arbiter.v , 110
+  Text: begin grantD [ scan  ...
+enterStatement
+  File: another_arbiter.v , 110
+  Text: begin grantD [ scan  ...
+enterStatement_item
+  File: another_arbiter.v , 110
+  Text: begin grantD [ scan  ...
+enterSeq_block
+  File: another_arbiter.v , 110
+  Text: begin grantD [ scan  ...
+enterStatement_or_null
+  File: another_arbiter.v , 111
+  Text: grantD [ scan [ 0 ]  ...
+enterStatement
+  File: another_arbiter.v , 111
+  Text: grantD [ scan [ 0 ]  ...
+enterStatement_item
+  File: another_arbiter.v , 111
+  Text: grantD [ scan [ 0 ]  ...
+enterBlocking_assignment
+  File: another_arbiter.v , 111
+  Text: grantD [ scan [ 0 ]  ...
+enterOperator_assignment
+  File: another_arbiter.v , 111
+  Text: grantD [ scan [ 0 ]  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 111
+  Text: grantD [ scan [ 0 ]  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 111
+  Text: grantD  ...
+enterSelect
+  File: another_arbiter.v , 111
+  Text: [ scan [ 0 ] ]  ...
+enterBit_select
+  File: another_arbiter.v , 111
+  Text: [ scan [ 0 ] ]  ...
+enterExpression
+  File: another_arbiter.v , 111
+  Text: scan [ 0 ]  ...
+enterPrimary
+  File: another_arbiter.v , 111
+  Text: scan [ 0 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 111
+  Text: scan [ 0 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 111
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 111
+  Text: [ 0 ]  ...
+enterBit_select
+  File: another_arbiter.v , 111
+  Text: [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 111
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 111
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 111
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 111
+  Text: 0  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 111
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 111
+  Text: finalRequest [ scan  ...
+enterPrimary
+  File: another_arbiter.v , 111
+  Text: finalRequest [ scan  ...
+enterComplex_func_call
+  File: another_arbiter.v , 111
+  Text: finalRequest [ scan  ...
+enterIdentifier
+  File: another_arbiter.v , 111
+  Text: finalRequest  ...
+enterSelect
+  File: another_arbiter.v , 111
+  Text: [ scan [ 0 ] ]  ...
+enterBit_select
+  File: another_arbiter.v , 111
+  Text: [ scan [ 0 ] ]  ...
+enterExpression
+  File: another_arbiter.v , 111
+  Text: scan [ 0 ]  ...
+enterPrimary
+  File: another_arbiter.v , 111
+  Text: scan [ 0 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 111
+  Text: scan [ 0 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 111
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 111
+  Text: [ 0 ]  ...
+enterBit_select
+  File: another_arbiter.v , 111
+  Text: [ 0 ]  ...
+enterExpression
+  File: another_arbiter.v , 111
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 111
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 111
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 111
+  Text: 0  ...
+enterStatement_or_null
+  File: another_arbiter.v , 112
+  Text: for ( u = 1 ; u < NU ...
+enterStatement
+  File: another_arbiter.v , 112
+  Text: for ( u = 1 ; u < NU ...
+enterStatement_item
+  File: another_arbiter.v , 112
+  Text: for ( u = 1 ; u < NU ...
+enterLoop_statement
+  File: another_arbiter.v , 112
+  Text: for ( u = 1 ; u < NU ...
+enterFor_initialization
+  File: another_arbiter.v , 112
+  Text: u = 1  ...
+enterList_of_variable_assignments
+  File: another_arbiter.v , 112
+  Text: u = 1  ...
+enterVariable_assignment
+  File: another_arbiter.v , 112
+  Text: u = 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterSelect
+  File: another_arbiter.v , 112
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 112
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 112
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 112
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 112
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 112
+  Text: 1  ...
+enterExpression
+  File: another_arbiter.v , 112
+  Text: u < NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterPrimary
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterPrimary_literal
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterIdentifier
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterBinOp_Less
+  File: another_arbiter.v , 112
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 112
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 112
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 112
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 112
+  Text: NUMUNITS  ...
+enterFor_step
+  File: another_arbiter.v , 112
+  Text: u = u + 1  ...
+enterFor_step_assignment
+  File: another_arbiter.v , 112
+  Text: u = u + 1  ...
+enterOperator_assignment
+  File: another_arbiter.v , 112
+  Text: u = u + 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterSelect
+  File: another_arbiter.v , 112
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 112
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 112
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 112
+  Text: u + 1  ...
+enterExpression
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterPrimary
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterPrimary_literal
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterIdentifier
+  File: another_arbiter.v , 112
+  Text: u  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 112
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 112
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 112
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 112
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 112
+  Text: 1  ...
+enterStatement_or_null
+  File: another_arbiter.v , 113
+  Text: grantD [ scan [ u ]  ...
+enterStatement
+  File: another_arbiter.v , 113
+  Text: grantD [ scan [ u ]  ...
+enterStatement_item
+  File: another_arbiter.v , 113
+  Text: grantD [ scan [ u ]  ...
+enterBlocking_assignment
+  File: another_arbiter.v , 113
+  Text: grantD [ scan [ u ]  ...
+enterOperator_assignment
+  File: another_arbiter.v , 113
+  Text: grantD [ scan [ u ]  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 113
+  Text: grantD [ scan [ u ]  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 113
+  Text: grantD  ...
+enterSelect
+  File: another_arbiter.v , 113
+  Text: [ scan [ u ] ]  ...
+enterBit_select
+  File: another_arbiter.v , 113
+  Text: [ scan [ u ] ]  ...
+enterExpression
+  File: another_arbiter.v , 113
+  Text: scan [ u ]  ...
+enterPrimary
+  File: another_arbiter.v , 113
+  Text: scan [ u ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 113
+  Text: scan [ u ]  ...
+enterIdentifier
+  File: another_arbiter.v , 113
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 113
+  Text: [ u ]  ...
+enterBit_select
+  File: another_arbiter.v , 113
+  Text: [ u ]  ...
+enterExpression
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterPrimary
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterPrimary_literal
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterIdentifier
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 113
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 113
+  Text: finalRequest [ scan  ...
+enterExpression
+  File: another_arbiter.v , 113
+  Text: finalRequest [ scan  ...
+enterPrimary
+  File: another_arbiter.v , 113
+  Text: finalRequest [ scan  ...
+enterComplex_func_call
+  File: another_arbiter.v , 113
+  Text: finalRequest [ scan  ...
+enterIdentifier
+  File: another_arbiter.v , 113
+  Text: finalRequest  ...
+enterSelect
+  File: another_arbiter.v , 113
+  Text: [ scan [ u ] ]  ...
+enterBit_select
+  File: another_arbiter.v , 113
+  Text: [ scan [ u ] ]  ...
+enterExpression
+  File: another_arbiter.v , 113
+  Text: scan [ u ]  ...
+enterPrimary
+  File: another_arbiter.v , 113
+  Text: scan [ u ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 113
+  Text: scan [ u ]  ...
+enterIdentifier
+  File: another_arbiter.v , 113
+  Text: scan  ...
+enterSelect
+  File: another_arbiter.v , 113
+  Text: [ u ]  ...
+enterBit_select
+  File: another_arbiter.v , 113
+  Text: [ u ]  ...
+enterExpression
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterPrimary
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterPrimary_literal
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterIdentifier
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterBinOp_LogicAnd
+  File: another_arbiter.v , 113
+  Text: &&  ...
+enterExpression
+  File: another_arbiter.v , 113
+  Text: ~ found [ u - 1 ]  ...
+enterUnary_Tilda
+  File: another_arbiter.v , 113
+  Text: ~  ...
+enterPrimary
+  File: another_arbiter.v , 113
+  Text: found [ u - 1 ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 113
+  Text: found [ u - 1 ]  ...
+enterIdentifier
+  File: another_arbiter.v , 113
+  Text: found  ...
+enterSelect
+  File: another_arbiter.v , 113
+  Text: [ u - 1 ]  ...
+enterBit_select
+  File: another_arbiter.v , 113
+  Text: [ u - 1 ]  ...
+enterExpression
+  File: another_arbiter.v , 113
+  Text: u - 1  ...
+enterExpression
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterPrimary
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterPrimary_literal
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterIdentifier
+  File: another_arbiter.v , 113
+  Text: u  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 113
+  Text: -  ...
+enterExpression
+  File: another_arbiter.v , 113
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 113
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 113
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 113
+  Text: 1  ...
+enterEnd
+  File: another_arbiter.v , 114
+  Text: end  ...
+enterModule_item
+  File: another_arbiter.v , 115
+  Text: always @ ( grantD )  ...
+enterNon_port_module_item
+  File: another_arbiter.v , 115
+  Text: always @ ( grantD )  ...
+enterModule_or_generate_item
+  File: another_arbiter.v , 115
+  Text: always @ ( grantD )  ...
+enterModule_common_item
+  File: another_arbiter.v , 115
+  Text: always @ ( grantD )  ...
+enterAlways_construct
+  File: another_arbiter.v , 115
+  Text: always @ ( grantD )  ...
+enterAlwaysKeywd_Always
+  File: another_arbiter.v , 115
+  Text: always  ...
+enterStatement
+  File: another_arbiter.v , 115
+  Text: @ ( grantD ) begin n ...
+enterStatement_item
+  File: another_arbiter.v , 115
+  Text: @ ( grantD ) begin n ...
+enterProcedural_timing_control_statement
+  File: another_arbiter.v , 115
+  Text: @ ( grantD ) begin n ...
+enterProcedural_timing_control
+  File: another_arbiter.v , 115
+  Text: @ ( grantD )  ...
+enterEvent_control
+  File: another_arbiter.v , 115
+  Text: @ ( grantD )  ...
+enterEvent_expression
+  File: another_arbiter.v , 115
+  Text: grantD  ...
+enterExpression
+  File: another_arbiter.v , 115
+  Text: grantD  ...
+enterPrimary
+  File: another_arbiter.v , 115
+  Text: grantD  ...
+enterPrimary_literal
+  File: another_arbiter.v , 115
+  Text: grantD  ...
+enterIdentifier
+  File: another_arbiter.v , 115
+  Text: grantD  ...
+enterStatement_or_null
+  File: another_arbiter.v , 116
+  Text: begin nextNext = 0 ; ...
+enterStatement
+  File: another_arbiter.v , 116
+  Text: begin nextNext = 0 ; ...
+enterStatement_item
+  File: another_arbiter.v , 116
+  Text: begin nextNext = 0 ; ...
+enterSeq_block
+  File: another_arbiter.v , 116
+  Text: begin nextNext = 0 ; ...
+enterStatement_or_null
+  File: another_arbiter.v , 117
+  Text: nextNext = 0 ;  ...
+enterStatement
+  File: another_arbiter.v , 117
+  Text: nextNext = 0 ;  ...
+enterStatement_item
+  File: another_arbiter.v , 117
+  Text: nextNext = 0 ;  ...
+enterBlocking_assignment
+  File: another_arbiter.v , 117
+  Text: nextNext = 0  ...
+enterOperator_assignment
+  File: another_arbiter.v , 117
+  Text: nextNext = 0  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 117
+  Text: nextNext  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 117
+  Text: nextNext  ...
+enterSelect
+  File: another_arbiter.v , 117
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 117
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 117
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 117
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 117
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 117
+  Text: 0  ...
+enterNumber_Integral
+  File: another_arbiter.v , 117
+  Text: 0  ...
+enterStatement_or_null
+  File: another_arbiter.v , 118
+  Text: for ( v = 0 ; v < NU ...
+enterStatement
+  File: another_arbiter.v , 118
+  Text: for ( v = 0 ; v < NU ...
+enterStatement_item
+  File: another_arbiter.v , 118
+  Text: for ( v = 0 ; v < NU ...
+enterLoop_statement
+  File: another_arbiter.v , 118
+  Text: for ( v = 0 ; v < NU ...
+enterFor_initialization
+  File: another_arbiter.v , 118
+  Text: v = 0  ...
+enterList_of_variable_assignments
+  File: another_arbiter.v , 118
+  Text: v = 0  ...
+enterVariable_assignment
+  File: another_arbiter.v , 118
+  Text: v = 0  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterSelect
+  File: another_arbiter.v , 118
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 118
+  Text:  ...
+enterExpression
+  File: another_arbiter.v , 118
+  Text: 0  ...
+enterPrimary
+  File: another_arbiter.v , 118
+  Text: 0  ...
+enterPrimary_literal
+  File: another_arbiter.v , 118
+  Text: 0  ...
+enterNumber_Integral
+  File:[  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 0
 [   NOTE] : 0
-
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
-  Text: keyCount  ...
+ another_arbiter.v , 118
+  Text: 0  ...
 enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
+  File: another_arbiter.v , 118
+  Text: v < NUMUNITS - 1  ...
+enterExpression
+  File: another_arbiter.v , 118
+  Text: v < NUMUNITS  ...
+enterExpression
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterPrimary
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterPrimary_literal
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterIdentifier
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterBinOp_Less
+  File: another_arbiter.v , 118
+  Text: <  ...
+enterExpression
+  File: another_arbiter.v , 118
+  Text: NUMUNITS  ...
+enterPrimary
+  File: another_arbiter.v , 118
+  Text: NUMUNITS  ...
+enterPrimary_literal
+  File: another_arbiter.v , 118
+  Text: NUMUNITS  ...
+enterIdentifier
+  File: another_arbiter.v , 118
+  Text: NUMUNITS  ...
+enterBinOp_Minus
+  File: another_arbiter.v , 118
+  Text: -  ...
+enterExpression
+  File: another_arbiter.v , 118
   Text: 1  ...
 enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
+  File: another_arbiter.v , 118
   Text: 1  ...
 enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
+  File: another_arbiter.v , 118
   Text: 1  ...
 enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 66
+  File: another_arbiter.v , 118
   Text: 1  ...
-enterEndtask
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 67
-  Text: endtask  ...
-enterClass_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterClass_method
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterFunction_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: function int try_get ...
-enterFunction_body_declaration
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int try_get ( int ke ...
-enterFunction_data_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterFunction_data_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: try_get  ...
-enterTf_port_list
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int keyCount = 1  ...
-enterTf_port_item
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int keyCount = 1  ...
-enterData_type_or_implicit
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterData_type
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIntegerAtomType_Int
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: int  ...
-enterIdentifier
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
-  Text: keyCount  ...
+enterFor_step
+  File: another_arbiter.v , 118
+  Text: v = v + 1  ...
+enterFor_step_assignment
+  File: another_arbiter.v , 118
+  Text: v = v + 1  ...
+enterOperator_assignment
+  File: another_arbiter.v , 118
+  Text: v = v + 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterSelect
+  File: another_arbiter.v , 118
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 118
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 118
+  Text: =  ...
 enterExpression
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
+  File: another_arbiter.v , 118
+  Text: v + 1  ...
+enterExpression
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterPrimary
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterPrimary_literal
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterIdentifier
+  File: another_arbiter.v , 118
+  Text: v  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 118
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 118
   Text: 1  ...
 enterPrimary
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
+  File: another_arbiter.v , 118
   Text: 1  ...
 enterPrimary_literal
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
+  File: another_arbiter.v , 118
   Text: 1  ...
 enterNumber_Integral
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 69
+  File: another_arbiter.v , 118
   Text: 1  ...
-enterEndfunction
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 70
-  Text: endfunction  ...
-enterEndclass
-  File: /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv , 72
-  Text: endclass  ...
+enterStatement_or_null
+  File: another_arbiter.v , 119
+  Text: if ( grantD [ v ] )  ...
+enterStatement
+  File: another_arbiter.v , 119
+  Text: if ( grantD [ v ] )  ...
+enterStatement_item
+  File: another_arbiter.v , 119
+  Text: if ( grantD [ v ] )  ...
+enterConditional_statement
+  File: another_arbiter.v , 119
+  Text: if ( grantD [ v ] )  ...
+enterCond_predicate
+  File: another_arbiter.v , 119
+  Text: grantD [ v ]  ...
+enterExpression_or_cond_pattern
+  File: another_arbiter.v , 119
+  Text: grantD [ v ]  ...
+enterExpression
+  File: another_arbiter.v , 119
+  Text: grantD [ v ]  ...
+enterPrimary
+  File: another_arbiter.v , 119
+  Text: grantD [ v ]  ...
+enterComplex_func_call
+  File: another_arbiter.v , 119
+  Text: grantD [ v ]  ...
+enterIdentifier
+  File: another_arbiter.v , 119
+  Text: grantD  ...
+enterSelect
+  File: another_arbiter.v , 119
+  Text: [ v ]  ...
+enterBit_select
+  File: another_arbiter.v , 119
+  Text: [ v ]  ...
+enterExpression
+  File: another_arbiter.v , 119
+  Text: v  ...
+enterPrimary
+  File: another_arbiter.v , 119
+  Text: v  ...
+enterPrimary_literal
+  File: another_arbiter.v , 119
+  Text: v  ...
+enterIdentifier
+  File: another_arbiter.v , 119
+  Text: v  ...
+enterStatement_or_null
+  File: another_arbiter.v , 119
+  Text: nextNext = v + 1 ;  ...
+enterStatement
+  File: another_arbiter.v , 119
+  Text: nextNext = v + 1 ;  ...
+enterStatement_item
+  File: another_arbiter.v , 119
+  Text: nextNext = v + 1 ;  ...
+enterBlocking_assignment
+  File: another_arbiter.v , 119
+  Text: nextNext = v + 1  ...
+enterOperator_assignment
+  File: another_arbiter.v , 119
+  Text: nextNext = v + 1  ...
+enterVariable_lvalue
+  File: another_arbiter.v , 119
+  Text: nextNext  ...
+enterHierarchical_identifier
+  File: another_arbiter.v , 119
+  Text: nextNext  ...
+enterSelect
+  File: another_arbiter.v , 119
+  Text:  ...
+enterBit_select
+  File: another_arbiter.v , 119
+  Text:  ...
+enterAssignOp_Assign
+  File: another_arbiter.v , 119
+  Text: =  ...
+enterExpression
+  File: another_arbiter.v , 119
+  Text: v + 1  ...
+enterExpression
+  File: another_arbiter.v , 119
+  Text: v  ...
+enterPrimary
+  File: another_arbiter.v , 119
+  Text: v  ...
+enterPrimary_literal
+  File: another_arbiter.v , 119
+  Text: v  ...
+enterIdentifier
+  File: another_arbiter.v , 119
+  Text: v  ...
+enterBinOp_Plus
+  File: another_arbiter.v , 119
+  Text: +  ...
+enterExpression
+  File: another_arbiter.v , 119
+  Text: 1  ...
+enterPrimary
+  File: another_arbiter.v , 119
+  Text: 1  ...
+enterPrimary_literal
+  File: another_arbiter.v , 119
+  Text: 1  ...
+enterNumber_Integral
+  File: another_arbiter.v , 119
+  Text: 1  ...
+enterEnd
+  File: another_arbiter.v , 120
+  Text: end  ...
+enterEndmodule
+  File: another_arbiter.v , 121
+  Text: endmodule  ...
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-Command exited with non-zero status 1
-2.42user 0.02system 0:02.44elapsed 99%CPU (0avgtext+0avgdata 74452maxresident)k
-0inputs+136outputs (0major+22257minor)pagefaults 0swaps
diff --git a/third_party/tests/SimpleUVM/SimpleUVM.log b/third_party/tests/SimpleUVM/SimpleUVM.log
index f4151e5..1121cda 100644
--- a/third_party/tests/SimpleUVM/SimpleUVM.log
+++ b/third_party/tests/SimpleUVM/SimpleUVM.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/SimpleUVM/slpp_unit/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -753,13 +749,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 11
 [   NOTE] : 7
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-2.14user 0.04system 0:02.19elapsed 99%CPU (0avgtext+0avgdata 101212maxresident)k
-0inputs+7704outputs (0major+35476minor)pagefaults 0swaps
diff --git a/third_party/tests/SimpleVMM/SimpleVMM.log b/third_party/tests/SimpleVMM/SimpleVMM.log
index 05b2a04..c6d6504 100644
--- a/third_party/tests/SimpleVMM/SimpleVMM.log
+++ b/third_party/tests/SimpleVMM/SimpleVMM.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/SimpleVMM/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -202,13 +198,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 16
 [   NOTE] : 5
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-22.92user 0.06system 0:22.99elapsed 99%CPU (0avgtext+0avgdata 304408maxresident)k
-0inputs+11392outputs (0major+82135minor)pagefaults 0swaps
diff --git a/third_party/tests/UVMNestedSeq/UVMNestedSeq.log b/third_party/tests/UVMNestedSeq/UVMNestedSeq.log
index 9b833bc..bfe6e91 100644
--- a/third_party/tests/UVMNestedSeq/UVMNestedSeq.log
+++ b/third_party/tests/UVMNestedSeq/UVMNestedSeq.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/UVMNestedSeq/slpp_unit/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -857,13 +853,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 3
 [WARNING] : 12
 [   NOTE] : 7
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-6.04user 0.08system 0:06.13elapsed 99%CPU (0avgtext+0avgdata 167464maxresident)k
-0inputs+12080outputs (0major+52014minor)pagefaults 0swaps
diff --git a/third_party/tests/UVMSwitch/UVMSwitch.log b/third_party/tests/UVMSwitch/UVMSwitch.log
index 35106d0..3f82ece 100644
--- a/third_party/tests/UVMSwitch/UVMSwitch.log
+++ b/third_party/tests/UVMSwitch/UVMSwitch.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/UVMSwitch/slpp_all/surelog.log.
 
 [NOTE :CM0009] Command line argument "+vcs+flush+all" ignored.
@@ -12,7 +8,7 @@
 
 [INFO :PP0122] Preprocessing source file "builtin.sv".
 
-1 /home/alain/Surelog/dist/surelog/bin/../sv/builtin.sv 1 in
+1 /home/alain/Surelog/build/dist/Release//sv/builtin.sv 1 in
 [INFO :PP0122] Preprocessing source file "../../UVM/uvm-1.2/src/uvm_pkg.sv".
 
 1 ../../UVM/uvm-1.2/src/uvm_pkg.sv 1 in
@@ -1516,13 +1512,8 @@
 [NOTE :EL0522] ../../UVM/uvm-1.2/src/macros/uvm_object_defines.svh:1613 Scope "work@top.UNNAMED.UNNAMED.UNNAMED.UNNAMED.UNNAMED.UNNAMED.UNNAMED.UNNAMED.UNNAMED".
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 11
 [   NOTE] : 129
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-19.65user 0.16system 0:19.82elapsed 99%CPU (0avgtext+0avgdata 317080maxresident)k
-136inputs+11048outputs (0major+89543minor)pagefaults 0swaps
diff --git a/third_party/tests/UnitAmiqEth/UnitAmiqEth.log b/third_party/tests/UnitAmiqEth/UnitAmiqEth.log
index ed80066..27036cb 100644
--- a/third_party/tests/UnitAmiqEth/UnitAmiqEth.log
+++ b/third_party/tests/UnitAmiqEth/UnitAmiqEth.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/UnitAmiqEth/slpp_all/surelog.log.
 
 [WARNI:CM0005] Include path "./sv/" does not exist.
@@ -1277,13 +1273,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 7
 [   NOTE] : 4
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-6.48user 0.08system 0:06.58elapsed 99%CPU (0avgtext+0avgdata 135480maxresident)k
-0inputs+8664outputs (0major+44065minor)pagefaults 0swaps
diff --git a/third_party/tests/UtdSV/UtdSV.log b/third_party/tests/UtdSV/UtdSV.log
index adee2e9..71f9be6 100644
--- a/third_party/tests/UtdSV/UtdSV.log
+++ b/third_party/tests/UtdSV/UtdSV.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/UtdSV/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -27,15 +23,15 @@
 [NOTE :PP0105] tlu.h:361 Multiply defined macro "INT_THR_HI",
                tlu.h:358 previous definition.
 
-[ERROR:PA0207] ifdef-2.v:6 Syntax error: token recognition error at: '"first_block, second_block not defined,\n',
+[SYNTX:PA0207] ifdef-2.v:6 Syntax error: token recognition error at: '"first_block, second_block not defined,\n',
     "first_block, second_block not defined,
     ^-- ../../../build/tests/UtdSV/slpp_unit/work/ifdef-2.v:6 col:4.
 
-[ERROR:PA0207] pad_jbusl.v:351 Syntax error: no viable alternative at input 'bw_io_dtl_padx12 I61 (\n     .ps_select_buf   ({ps_sel_end } ),\n     .bypass_en_buf   ({bypass_en_end } ),\n     .serial_out      ({serial_out[127:116] } ),\n     .serial_in       ({serial_in[127:116] } ),\n     .to_core         ({io_jbi_j_ad[127:116] } ),\n     .pad             ({j_ad[127:116] } ),\n     .por_l_buf       ({net674[0] ,net674[1] } ),\n     .oe_buf          ({net675[0] ,net675[1] } ),\n     .reset_l_buf     ({net0234[0] ,net0234[1] } ),\n     .update_dr_buf   ({update_dr_end } ),\n     .cbu1            ({net682[0] ,net682[1] ,net682[2] ,net682[3] ,\n            net682[4] ,net682[5] ,net682[6] ,net682[7] } ),\n     .cbd1            ({net684[0] ,net684[1] ,net684[2] ,net684[3] ,\n            net684[4] ,net684[5] ,net684[6] ,net684[7] } ),\n     .up_open_buf     ({net664[0] ,net664[1] } ),\n     .mode_ctl_buf    ({mode_ctl_end } ),\n     .se_buf          ({se_buf_end } ),\n     .shift_dr_buf    ({shift_dr_end } ),\n     .hiz_l_buf       ({hiz_l_end } ),\n     .rst_val_dn_buf  ({net670[0] ,net670[1] } ),\n     .down_25_buf     ({net678[0] ,net678[1] } ),\n     .data            ({jbi_io_j_ad[127:116] } ),\n     .clock_dr_buf    ({clock_dr_end } ),\n     .rst_val_up_buf  ({net669[0] ,net669[1] } ),\n     .sel_bypass_buf  ({net667[0] ,net667[1] } ),\n     .cbu0            ({net683[0] ,net683[1] ,net683[2] ,net683[3] ,\n            net683[4] ,net683[5] ,net683[6] ,net683[7] } ),\n     .cbd0            ({net685[0] ,net685[1] ,net685[2] ,net685[3] ,\n            net685[4] ,net685[5] ,net685[6] ,net685[7] } ),\n     .rst_io_l_buf    ({net671[0] ,net671[1] } ),\n     .bso             (bscan[5] ),\n     .so              (scan[5] ),\n     .bsr_si          (pad_jbusl_bsi ),\n     .si              (pad_jbusl_headel_so ),\n     .clk             (clk ),\n     .vddo            (vddo ),\n     .ref',
+[SYNTX:PA0207] pad_jbusl.v:351 Syntax error: no viable alternative at input 'bw_io_dtl_padx12 I61 (\n     .ps_select_buf   ({ps_sel_end } ),\n     .bypass_en_buf   ({bypass_en_end } ),\n     .serial_out      ({serial_out[127:116] } ),\n     .serial_in       ({serial_in[127:116] } ),\n     .to_core         ({io_jbi_j_ad[127:116] } ),\n     .pad             ({j_ad[127:116] } ),\n     .por_l_buf       ({net674[0] ,net674[1] } ),\n     .oe_buf          ({net675[0] ,net675[1] } ),\n     .reset_l_buf     ({net0234[0] ,net0234[1] } ),\n     .update_dr_buf   ({update_dr_end } ),\n     .cbu1            ({net682[0] ,net682[1] ,net682[2] ,net682[3] ,\n            net682[4] ,net682[5] ,net682[6] ,net682[7] } ),\n     .cbd1            ({net684[0] ,net684[1] ,net684[2] ,net684[3] ,\n            net684[4] ,net684[5] ,net684[6] ,net684[7] } ),\n     .up_open_buf     ({net664[0] ,net664[1] } ),\n     .mode_ctl_buf    ({mode_ctl_end } ),\n     .se_buf          ({se_buf_end } ),\n     .shift_dr_buf    ({shift_dr_end } ),\n     .hiz_l_buf       ({hiz_l_end } ),\n     .rst_val_dn_buf  ({net670[0] ,net670[1] } ),\n     .down_25_buf     ({net678[0] ,net678[1] } ),\n     .data            ({jbi_io_j_ad[127:116] } ),\n     .clock_dr_buf    ({clock_dr_end } ),\n     .rst_val_up_buf  ({net669[0] ,net669[1] } ),\n     .sel_bypass_buf  ({net667[0] ,net667[1] } ),\n     .cbu0            ({net683[0] ,net683[1] ,net683[2] ,net683[3] ,\n            net683[4] ,net683[5] ,net683[6] ,net683[7] } ),\n     .cbd0            ({net685[0] ,net685[1] ,net685[2] ,net685[3] ,\n            net685[4] ,net685[5] ,net685[6] ,net685[7] } ),\n     .rst_io_l_buf    ({net671[0] ,net671[1] } ),\n     .bso             (bscan[5] ),\n     .so              (scan[5] ),\n     .bsr_si          (pad_jbusl_bsi ),\n     .si              (pad_jbusl_headel_so ),\n     .clk             (clk ),\n     .vddo            (vddo ),\n     .ref',
      .ref             (dtl_l_vref ) );
       ^-- ../../../build/tests/UtdSV/slpp_unit/work/pad_jbusl.v:351 col:6.
 
-[ERROR:PA0207] pad_jbusr.v:388 Syntax error: no viable alternative at input 'bw_io_dtl_padx12 I2 (\n     .ps_select_buf   ({ps_select_end } ),\n     .bypass_en_buf   ({bypass_en_end } ),\n     .serial_out      ({serial_out[56:45] } ),\n     .serial_in       ({serial_in[56:45] } ),\n     .to_core         ({io_jbi_j_ad[56:45] } ),\n     .pad             ({j_ad[56:45] } ),\n     .por_l_buf       ({net866[0] ,net866[1] } ),\n     .oe_buf          ({net867[0] ,net867[1] } ),\n     .reset_l_buf     ({net865[0] ,net865[1] } ),\n     .update_dr_buf   ({update_dr_end } ),\n     .cbu1            ({net872[0] ,net872[1] ,net872[2] ,net872[3] ,\n            net872[4] ,net872[5] ,net872[6] ,net872[7] } ),\n     .cbd1            ({net874[0] ,net874[1] ,net874[2] ,net874[3] ,\n            net874[4] ,net874[5] ,net874[6] ,net874[7] } ),\n     .up_open_buf     ({net858[0] ,net858[1] } ),\n     .mode_ctl_buf    ({mode_ctl_end } ),\n     .se_buf          ({net861[0] ,net861[1] } ),\n     .shift_dr_buf    ({shift_dr_end } ),\n     .hiz_l_buf       ({hiz_l_end } ),\n     .rst_val_dn_buf  ({net863[0] ,net863[1] } ),\n     .down_25_buf     ({net870[0] ,net870[1] } ),\n     .data            ({jbi_io_j_ad[56:45] } ),\n     .clock_dr_buf    ({clock_dr_end } ),\n     .rst_val_up_buf  ({net862[0] ,net862[1] } ),\n     .sel_bypass_buf  ({net860[0] ,net860[1] } ),\n     .cbu0            ({net0480[0] ,net0480[1] ,net0480[2] ,net0480[3] ,\n            net0480[4] ,net0480[5] ,net0480[6] ,net0480[7] } ),\n     .cbd0            ({net0478[0] ,net0478[1] ,net0478[2] ,net0478[3] ,\n            net0478[4] ,net0478[5] ,net0478[6] ,net0478[7] } ),\n     .rst_io_l_buf    ({net864[0] ,net864[1] } ),\n     .bso             (bscan[8] ),\n     .so              (scan[8] ),\n     .bsr_si          (pad_jbusr_bsi ),\n     .si              (pad_jbusr_header_so ),\n     .clk             (clk ),\n     .vddo            (vddo ),\n     .ref',
+[SYNTX:PA0207] pad_jbusr.v:388 Syntax error: no viable alternative at input 'bw_io_dtl_padx12 I2 (\n     .ps_select_buf   ({ps_select_end } ),\n     .bypass_en_buf   ({bypass_en_end } ),\n     .serial_out      ({serial_out[56:45] } ),\n     .serial_in       ({serial_in[56:45] } ),\n     .to_core         ({io_jbi_j_ad[56:45] } ),\n     .pad             ({j_ad[56:45] } ),\n     .por_l_buf       ({net866[0] ,net866[1] } ),\n     .oe_buf          ({net867[0] ,net867[1] } ),\n     .reset_l_buf     ({net865[0] ,net865[1] } ),\n     .update_dr_buf   ({update_dr_end } ),\n     .cbu1            ({net872[0] ,net872[1] ,net872[2] ,net872[3] ,\n            net872[4] ,net872[5] ,net872[6] ,net872[7] } ),\n     .cbd1            ({net874[0] ,net874[1] ,net874[2] ,net874[3] ,\n            net874[4] ,net874[5] ,net874[6] ,net874[7] } ),\n     .up_open_buf     ({net858[0] ,net858[1] } ),\n     .mode_ctl_buf    ({mode_ctl_end } ),\n     .se_buf          ({net861[0] ,net861[1] } ),\n     .shift_dr_buf    ({shift_dr_end } ),\n     .hiz_l_buf       ({hiz_l_end } ),\n     .rst_val_dn_buf  ({net863[0] ,net863[1] } ),\n     .down_25_buf     ({net870[0] ,net870[1] } ),\n     .data            ({jbi_io_j_ad[56:45] } ),\n     .clock_dr_buf    ({clock_dr_end } ),\n     .rst_val_up_buf  ({net862[0] ,net862[1] } ),\n     .sel_bypass_buf  ({net860[0] ,net860[1] } ),\n     .cbu0            ({net0480[0] ,net0480[1] ,net0480[2] ,net0480[3] ,\n            net0480[4] ,net0480[5] ,net0480[6] ,net0480[7] } ),\n     .cbd0            ({net0478[0] ,net0478[1] ,net0478[2] ,net0478[3] ,\n            net0478[4] ,net0478[5] ,net0478[6] ,net0478[7] } ),\n     .rst_io_l_buf    ({net864[0] ,net864[1] } ),\n     .bso             (bscan[8] ),\n     .so              (scan[8] ),\n     .bsr_si          (pad_jbusr_bsi ),\n     .si              (pad_jbusr_header_so ),\n     .clk             (clk ),\n     .vddo            (vddo ),\n     .ref',
      .ref             (dtl_r_vref ) );
       ^-- ../../../build/tests/UtdSV/slpp_unit/work/pad_jbusr.v:388 col:6.
 
@@ -7452,13 +7448,8 @@
 [WARNI:EL0513] Nb undefined instances: 2699.
 
 [  FATAL] : 0
-[  ERROR] : 9
+[ SYNTAX] : 3
+[  ERROR] : 6
 [WARNING] : 2797
 [   NOTE] : 488
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-23.75user 0.43system 0:24.24elapsed 99%CPU (0avgtext+0avgdata 1127540maxresident)k
-7944inputs+9624outputs (0major+286217minor)pagefaults 0swaps
diff --git a/third_party/tests/Verilator/Verilator.log b/third_party/tests/Verilator/Verilator.log
index a3003e5..dcdc149 100644
--- a/third_party/tests/Verilator/Verilator.log
+++ b/third_party/tests/Verilator/Verilator.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/Verilator/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -1394,15 +1390,15 @@
 
 [INFO :PP0122] Preprocessing source file "t_preproc.v".
 
-[ERROR:PP0106] t_preproc.v:245 Syntax error: no viable alternative at input '`define\n',
+[SYNTX:PP0106] t_preproc.v:245 Syntax error: no viable alternative at input '`define\n',
 Not a \`define
               ^-- t_preproc.v:245 col:14.
 
-[ERROR:PP0106] t_preproc.v:284 Syntax error: no viable alternative at input '`define /* multi\t\\n\t line1*/',
+[SYNTX:PP0106] t_preproc.v:284 Syntax error: no viable alternative at input '`define /* multi\t\\n\t line1*/',
 `define /* multi	\
         ^-- t_preproc.v:284 col:8.
 
-[ERROR:PP0106] t_preproc.v:475 Syntax error: no viable alternative at input '`define ESC(name) \',
+[SYNTX:PP0106] t_preproc.v:475 Syntax error: no viable alternative at input '`define ESC(name) \',
 `define ESC(name) \`CAT(name,suffix)
                   ^-- t_preproc.v:475 col:18.
 
@@ -3762,7 +3758,7 @@
 
 [INFO :PA0201] Parsing source file "t_attr_parenstar.v".
 
-[ERROR:PA0207] t_attr_parenstar.v:32 Syntax error: no viable alternative at input '@ (*',
+[SYNTX:PA0207] t_attr_parenstar.v:32 Syntax error: no viable alternative at input '@ (*',
    always @ (*
             ^-- ../../../build/tests/Verilator/slpp_unit/work/t_attr_parenstar.v:32 col:12.
 
@@ -3826,7 +3822,7 @@
 
 [INFO :PA0201] Parsing source file "t_case_wild.v".
 
-[ERROR:PA0207] t_case_wild.v:64 Syntax error: no viable alternative at input 'casez (in[0])\n      endcase',
+[SYNTX:PA0207] t_case_wild.v:64 Syntax error: no viable alternative at input 'casez (in[0])\n      endcase',
       endcase
       ^-- ../../../build/tests/Verilator/slpp_unit/work/t_case_wild.v:64 col:6.
 
@@ -3856,7 +3852,7 @@
 
 [INFO :PA0201] Parsing source file "t_clk_concat2.v".
 
-[ERROR:PA0207] t_clk_concat2.v:80 Syntax error: extraneous input 'input' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
+[SYNTX:PA0207] t_clk_concat2.v:80 Syntax error: extraneous input 'input' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
    input       clk;
    ^-- ../../../build/tests/Verilator/slpp_unit/work/t_clk_concat2.v:80 col:3.
 
@@ -3866,13 +3862,13 @@
 
 [INFO :PA0201] Parsing source file "t_clk_concat5.v".
 
-[ERROR:PA0207] t_clk_concat5.v:83 Syntax error: extraneous input 'input' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
+[SYNTX:PA0207] t_clk_concat5.v:83 Syntax error: extraneous input 'input' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
    input       clk;
    ^-- ../../../build/tests/Verilator/slpp_unit/work/t_clk_concat5.v:83 col:3.
 
 [INFO :PA0201] Parsing source file "t_clk_concat6.v".
 
-[ERROR:PA0207] t_clk_concat6.v:96 Syntax error: extraneous input 'input' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
+[SYNTX:PA0207] t_clk_concat6.v:96 Syntax error: extraneous input 'input' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
    input       clk;
    ^-- ../../../build/tests/Verilator/slpp_unit/work/t_clk_concat6.v:96 col:3.
 
@@ -3916,7 +3912,7 @@
 
 [INFO :PA0201] Parsing source file "t_const_dec_mixed_bad.v".
 
-[ERROR:PA0207] t_const_dec_mixed_bad.v:8 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n\n   parameter [200:0] MIXED = 32'dx_1',
+[SYNTX:PA0207] t_const_dec_mixed_bad.v:8 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n\n   parameter [200:0] MIXED = 32'dx_1',
    parameter [200:0] MIXED = 32'dx_1;
                                    ^-- ../../../build/tests/Verilator/slpp_unit/work/t_const_dec_mixed_bad.v:8 col:35.
 
@@ -3976,7 +3972,7 @@
 
 [INFO :PA0201] Parsing source file "t_dpi_display.v".
 
-[ERROR:PA0207] t_dpi_display.v:10 Syntax error: no viable alternative at input 'module t ();\n\n   SURELOG_MACRO_NOT_DEFINED:error!!!  "Only Verilator supports PLI-ish DPI calls and sformat conversion."',
+[SYNTX:PA0207] t_dpi_display.v:10 Syntax error: no viable alternative at input 'module t ();\n\n   SURELOG_MACRO_NOT_DEFINED:error!!!  "Only Verilator supports PLI-ish DPI calls and sformat conversion."',
    SURELOG_MACRO_NOT_DEFINED:error!!!  "Only Verilator supports PLI-ish DPI calls and sformat conversion."
                                        ^-- ../../../build/tests/Verilator/slpp_unit/work/t_dpi_display.v:10 col:39.
 
@@ -4014,7 +4010,7 @@
 
 [INFO :PA0201] Parsing source file "t_dpi_sys.v".
 
-[ERROR:PA0207] t_dpi_sys.v:14 Syntax error: no viable alternative at input 'module t ();\n\n   SURELOG_MACRO_NOT_DEFINED:error!!!  "Only Verilator supports PLI-ish DPI calls."',
+[SYNTX:PA0207] t_dpi_sys.v:14 Syntax error: no viable alternative at input 'module t ();\n\n   SURELOG_MACRO_NOT_DEFINED:error!!!  "Only Verilator supports PLI-ish DPI calls."',
    SURELOG_MACRO_NOT_DEFINED:error!!!  "Only Verilator supports PLI-ish DPI calls."
                                        ^-- ../../../build/tests/Verilator/slpp_unit/work/t_dpi_sys.v:14 col:39.
 
@@ -4022,7 +4018,7 @@
 
 [INFO :PA0201] Parsing source file "t_dpi_threads.v".
 
-[ERROR:PA0207] t_dpi_threads.v:18 Syntax error: extraneous input '"Only Verilator supports PLI-ish DPI calls."' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'input', 'output', 'inout', 'ref', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
+[SYNTX:PA0207] t_dpi_threads.v:18 Syntax error: extraneous input '"Only Verilator supports PLI-ish DPI calls."' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'input', 'output', 'inout', 'ref', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
    SURELOG_MACRO_NOT_DEFINED:error!!!  "Only Verilator supports PLI-ish DPI calls."
                                        ^-- ../../../build/tests/Verilator/slpp_unit/work/t_dpi_threads.v:18 col:39.
 
@@ -4034,7 +4030,7 @@
 
 [INFO :PA0201] Parsing source file "t_dpi_var.v".
 
-[ERROR:PA0207] t_dpi_var.v:64 Syntax error: no viable alternative at input 'module sub (/*AUTOARG*/\n   // Outputs\n   fr_a, fr_b, fr_chk,\n   // Inputs\n   in\n   );\n\nSURELOG_MACRO_NOT_DEFINED:systemc_imp_header!!! \n  void',
+[SYNTX:PA0207] t_dpi_var.v:64 Syntax error: no viable alternative at input 'module sub (/*AUTOARG*/\n   // Outputs\n   fr_a, fr_b, fr_chk,\n   // Inputs\n   in\n   );\n\nSURELOG_MACRO_NOT_DEFINED:systemc_imp_header!!! \n  void',
   void mon_class_name(const char* namep);
   ^-- ../../../build/tests/Verilator/slpp_unit/work/t_dpi_var.v:64 col:2.
 
@@ -4050,7 +4046,7 @@
 
 [INFO :PA0201] Parsing source file "t_enum.v".
 
-[ERROR:PA0207] t_enum.v:33 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n\n   localparam FIVE = 5;\n\n   enum { e0,\n\t  e1,\n\t  e3=3,\n\t  e5=FIVE,\n\t  e10_[2] = 10,\n\t  e12,\n\t  e20_[5:7] = 25,\n\t  e20_z,\n\t  e30_[7:5] = 30,\n\t  e30_z\n\t  } EN;\n\n   enum {\n\t z5 = e5\n\t } ZN;\n\n   typedef enum [',
+[SYNTX:PA0207] t_enum.v:33 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n\n   localparam FIVE = 5;\n\n   enum { e0,\n\t  e1,\n\t  e3=3,\n\t  e5=FIVE,\n\t  e10_[2] = 10,\n\t  e12,\n\t  e20_[5:7] = 25,\n\t  e20_z,\n\t  e30_[7:5] = 30,\n\t  e30_z\n\t  } EN;\n\n   enum {\n\t z5 = e5\n\t } ZN;\n\n   typedef enum [',
    typedef enum [2:0] { ONES=~0 } three_t;
                 ^-- ../../../build/tests/Verilator/slpp_unit/work/t_enum.v:33 col:16.
 
@@ -4074,7 +4070,7 @@
 
 [INFO :PA0201] Parsing source file "t_enum_type_methods.v".
 
-[ERROR:PA0207] t_enum_type_methods.v:13 Syntax error: no viable alternative at input 'enum [',
+[SYNTX:PA0207] t_enum_type_methods.v:13 Syntax error: no viable alternative at input 'enum [',
    typedef enum [3:0] {
                 ^-- ../../../build/tests/Verilator/slpp_unit/work/t_enum_type_methods.v:13 col:16.
 
@@ -4086,7 +4082,7 @@
 
 [INFO :PA0201] Parsing source file "t_extend_class.v".
 
-[ERROR:PA0207] t_extend_class.v:49 Syntax error: extraneous input '#' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'input', 'output', 'inout', 'ref', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
+[SYNTX:PA0207] t_extend_class.v:49 Syntax error: extraneous input '#' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'input', 'output', 'inout', 'ref', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
 #include "t_extend_class_c.h"	// Header for contained object
 ^-- ../../../build/tests/Verilator/slpp_unit/work/t_extend_class.v:49 col:0.
 
@@ -4348,7 +4344,7 @@
 
 [INFO :PA0201] Parsing source file "t_gen_missing.v".
 
-[ERROR:PA0207] t_gen_missing.v:8 Syntax error: extraneous input '"Bad Test"' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
+[SYNTX:PA0207] t_gen_missing.v:8 Syntax error: extraneous input '"Bad Test"' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
  SURELOG_MACRO_NOT_DEFINED:error!!!  "Bad Test"
                                      ^-- ../../../build/tests/Verilator/slpp_unit/work/t_gen_missing.v:8 col:37.
 
@@ -4368,7 +4364,7 @@
 
 [INFO :PA0201] Parsing source file "t_hierarchy_identifier.v".
 
-[ERROR:PA0207] t_hierarchy_identifier.v:30 Syntax error: token recognition error at: '\',
+[SYNTX:PA0207] t_hierarchy_identifier.v:30 Syntax error: token recognition error at: '\',
    if (cnt==SIZE) begin : \0escaped___name
                           ^-- ../../../build/tests/Verilator/slpp_unit/work/t_hierarchy_identifier.v:30 col:26.
 
@@ -4426,13 +4422,13 @@
 
 [INFO :PA0201] Parsing source file "t_inst_missing.v".
 
-[ERROR:PA0207] t_inst_missing.v:10 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n   wire ok = 1'b0;\n   // verilator lint_off PINNOCONNECT\n   // verilator lint_off PINCONNECTEMPTY\n   sub sub (.ok(ok), ,',
+[SYNTX:PA0207] t_inst_missing.v:10 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n   wire ok = 1'b0;\n   // verilator lint_off PINNOCONNECT\n   // verilator lint_off PINCONNECTEMPTY\n   sub sub (.ok(ok), ,',
    sub sub (.ok(ok), , .nc());
                      ^-- ../../../build/tests/Verilator/slpp_unit/work/t_inst_missing.v:10 col:21.
 
 [INFO :PA0201] Parsing source file "t_inst_missing_bad.v".
 
-[ERROR:PA0207] t_inst_missing_bad.v:8 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n   wire ok = 1'b0;\n   sub sub (.ok(ok), ,',
+[SYNTX:PA0207] t_inst_missing_bad.v:8 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n   wire ok = 1'b0;\n   sub sub (.ok(ok), ,',
    sub sub (.ok(ok), , .nc());
                      ^-- ../../../build/tests/Verilator/slpp_unit/work/t_inst_missing_bad.v:8 col:21.
 
@@ -4490,7 +4486,7 @@
 
 [INFO :PA0201] Parsing source file "t_interface_down_gen.v".
 
-[ERROR:PA0207] t_interface_down_gen.v:75 Syntax error: no viable alternative at input 'Commercial sims choke',
+[SYNTX:PA0207] t_interface_down_gen.v:75 Syntax error: no viable alternative at input 'Commercial sims choke',
 SURELOG_MACRO_NOT_DEFINED:error!!!  Commercial sims choke on cross ref here
                                                     ^-- ../../../build/tests/Verilator/slpp_unit/work/t_interface_down_gen.v:75 col:52.
 
@@ -4536,7 +4532,7 @@
 
 [INFO :PA0201] Parsing source file "t_interface_modportlist.v".
 
-[ERROR:PA0207] t_interface_modportlist.v:10 Syntax error: no viable alternative at input 'my_module m(.clk(clk), iface',
+[SYNTX:PA0207] t_interface_modportlist.v:10 Syntax error: no viable alternative at input 'my_module m(.clk(clk), iface',
    my_module m(.clk(clk), iface);
                           ^-- ../../../build/tests/Verilator/slpp_unit/work/t_interface_modportlist.v:10 col:26.
 
@@ -4588,7 +4584,7 @@
 
 [INFO :PA0201] Parsing source file "t_lint_bsspace_bad.v".
 
-[ERROR:PA0207] t_lint_bsspace_bad.v:11 Syntax error: no viable alternative at input 'blak\n\nmodule',
+[SYNTX:PA0207] t_lint_bsspace_bad.v:11 Syntax error: no viable alternative at input 'blak\n\nmodule',
 module t;
 ^-- ../../../build/tests/Verilator/slpp_unit/work/t_lint_bsspace_bad.v:11 col:0.
 
@@ -4608,7 +4604,7 @@
 
 [INFO :PA0201] Parsing source file "t_lint_implicit_def_bad.v".
 
-[ERROR:PA0207] t_lint_implicit_def_bad.v:14 Syntax error: extraneous input '`default_nettype' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'input', 'output', 'inout', 'ref', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
+[SYNTX:PA0207] t_lint_implicit_def_bad.v:14 Syntax error: extraneous input '`default_nettype' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'input', 'output', 'inout', 'ref', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
 `default_nettype none
 ^-- ../../../build/tests/Verilator/slpp_unit/work/t_lint_implicit_def_bad.v:14 col:0.
 
@@ -4620,7 +4616,7 @@
 
 [INFO :PA0201] Parsing source file "t_lint_in_inc_bad.v".
 
-[ERROR:PA0207] t_lint_in_inc_bad_2.vh:8 Syntax error: mismatched input 'if' expecting '(',
+[SYNTX:PA0207] t_lint_in_inc_bad_2.vh:8 Syntax error: mismatched input 'if' expecting '(',
    if if if;
       ^-- ../../../build/tests/Verilator/slpp_unit/work/t_lint_in_inc_bad.v:18 col:6.
 
@@ -4638,7 +4634,7 @@
 
 [INFO :PA0201] Parsing source file "t_lint_mod_paren_bad.v".
 
-[ERROR:PA0207] t_lint_mod_paren_bad.v:12 Syntax error: mismatched input '(' expecting ';',
+[SYNTX:PA0207] t_lint_mod_paren_bad.v:12 Syntax error: mismatched input '(' expecting ';',
    ) (
      ^-- ../../../build/tests/Verilator/slpp_unit/work/t_lint_mod_paren_bad.v:12 col:5.
 
@@ -4652,13 +4648,13 @@
 
 [INFO :PA0201] Parsing source file "t_lint_pindup_bad.v".
 
-[ERROR:PA0207] t_lint_pindup_bad.v:14 Syntax error: no viable alternative at input 'sub\n     #(,',
+[SYNTX:PA0207] t_lint_pindup_bad.v:14 Syntax error: no viable alternative at input 'sub\n     #(,',
      #(,  // Not found
        ^-- ../../../build/tests/Verilator/slpp_unit/work/t_lint_pindup_bad.v:14 col:7.
 
 [INFO :PA0201] Parsing source file "t_lint_pkg_colon_bad.v".
 
-[ERROR:PA0207] t_lint_pkg_colon_bad.v:7 Syntax error: mismatched input '::' expecting ';',
+[SYNTX:PA0207] t_lint_pkg_colon_bad.v:7 Syntax error: mismatched input '::' expecting ';',
    reg mispkgb::bar_t b;
               ^-- ../../../build/tests/Verilator/slpp_unit/work/t_lint_pkg_colon_bad.v:7 col:14.
 
@@ -4670,7 +4666,7 @@
 
 [INFO :PA0201] Parsing source file "t_lint_rsvd_bad.v".
 
-[ERROR:PA0207] t_lint_rsvd_bad.v:7 Syntax error: mismatched input 'endconfig' expecting {'design', 'localparam'},
+[SYNTX:PA0207] t_lint_rsvd_bad.v:7 Syntax error: mismatched input 'endconfig' expecting {'design', 'localparam'},
 endconfig
 ^-- ../../../build/tests/Verilator/slpp_unit/work/t_lint_rsvd_bad.v:7 col:0.
 
@@ -4832,7 +4828,7 @@
 
 [INFO :PA0201] Parsing source file "t_mem_multi_ref_bad.v".
 
-[ERROR:PA0207] t_mem_multi_ref_bad.v:18 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n   reg       dimn;\n   reg [1:0] dim0;\n   reg [1:0] dim1 [1:0];\n   reg [1:0] dim2 [1:0][1:0];\n   reg       dim0nv[1:0];\n\n   initial begin\n      dimn[1:0] = 0;            // Bad: Not ranged\n      dim0[1][1] = 0;           // Bad: Not arrayed\n      dim1[1][1][1] = 0;        // Bad: Not arrayed to right depth\n      dim2[1][1][1] = 0;        // OK\n      dim2[0 +: 1][',
+[SYNTX:PA0207] t_mem_multi_ref_bad.v:18 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n   reg       dimn;\n   reg [1:0] dim0;\n   reg [1:0] dim1 [1:0];\n   reg [1:0] dim2 [1:0][1:0];\n   reg       dim0nv[1:0];\n\n   initial begin\n      dimn[1:0] = 0;            // Bad: Not ranged\n      dim0[1][1] = 0;           // Bad: Not arrayed\n      dim1[1][1][1] = 0;        // Bad: Not arrayed to right depth\n      dim2[1][1][1] = 0;        // OK\n      dim2[0 +: 1][',
       dim2[0 +: 1][1] = 0;      // Bad: Range on non-bits
                   ^-- ../../../build/tests/Verilator/slpp_unit/work/t_mem_multi_ref_bad.v:18 col:18.
 
@@ -4852,7 +4848,7 @@
 
 [INFO :PA0201] Parsing source file "t_mem_slice_bad.v".
 
-[ERROR:PA0207] t_mem_slice_bad.v:38 Syntax error: mismatched input '[' expecting '=',
+[SYNTX:PA0207] t_mem_slice_bad.v:38 Syntax error: mismatched input '[' expecting '=',
    assign active_command3[1:0][2:0][3:0] = (use_AnB) ?  command_A3[1:0][2:0][3:0] : command_B3[1:0][1:0][3:0];
                               ^-- ../../../build/tests/Verilator/slpp_unit/work/t_mem_slice_bad.v:38 col:30.
 
@@ -5036,13 +5032,13 @@
 
 [INFO :PA0201] Parsing source file "t_pipe_filter.v".
 
-[ERROR:PA0207] t_pipe_filter.v:10 Syntax error: no viable alternative at input 'example line 10',
+[SYNTX:PA0207] t_pipe_filter.v:10 Syntax error: no viable alternative at input 'example line 10',
 example line 10;
              ^-- ../../../build/tests/Verilator/slpp_unit/work/t_pipe_filter.v:10 col:13.
 
 [INFO :PA0201] Parsing source file "t_pp_circdef_bad.v".
 
-[ERROR:PA0207] t_pp_circdef_bad.v:14 Syntax error: no viable alternative at input 'logic [12-SURELOG_MACRO_NOT_DEFINED:SEL_NUM_BITS!!!',
+[SYNTX:PA0207] t_pp_circdef_bad.v:14 Syntax error: no viable alternative at input 'logic [12-SURELOG_MACRO_NOT_DEFINED:SEL_NUM_BITS!!!',
 typedef logic [12-SURELOG_MACRO_NOT_DEFINED:SEL_NUM_BITS!!!  +: SURELOG_MACRO_NOT_DEFINED:SEL_NUM_BITS!!! -1:0]  d_t;
                   ^-- ../../../build/tests/Verilator/slpp_unit/work/t_pp_circdef_bad.v:9 col:18.
 
@@ -5050,7 +5046,7 @@
 
 [INFO :PA0201] Parsing source file "t_pp_display.v".
 
-[ERROR:PA0207] t_pp_display.v:22 Syntax error: no viable alternative at input '$display("left side : \"right side \"" // The 'left' as the variable name shouldn't match the "left" in the `" string\n   initial',
+[SYNTX:PA0207] t_pp_display.v:22 Syntax error: no viable alternative at input '$display("left side : \"right side \"" // The 'left' as the variable name shouldn't match the "left" in the `" string\n   initial',
    initial begin
    ^-- ../../../build/tests/Verilator/slpp_unit/work/t_pp_display.v:24 col:3.
 
@@ -5060,7 +5056,7 @@
 
 [INFO :PA0201] Parsing source file "t_pp_lib_library.v".
 
-[ERROR:PA0207] t_pp_lib_library.v:7 Syntax error: no viable alternative at input 'input [SURELOG_MACRO_NOT_DEFINED:WIDTH!!!',
+[SYNTX:PA0207] t_pp_lib_library.v:7 Syntax error: no viable alternative at input 'input [SURELOG_MACRO_NOT_DEFINED:WIDTH!!!',
    input [SURELOG_MACRO_NOT_DEFINED:WIDTH!!! -1:0] a;
           ^-- ../../../build/tests/Verilator/slpp_unit/work/t_pp_lib_library.v:7 col:10.
 
@@ -5084,13 +5080,13 @@
 
 [INFO :PA0201] Parsing source file "t_preproc.v".
 
-[ERROR:PA0207] t_preproc.v:100 Syntax error: token recognition error at: '"twoline: \"first \n',
+[SYNTX:PA0207] t_preproc.v:100 Syntax error: token recognition error at: '"twoline: \"first \n',
 $display("twoline: \"first 
          ^-- ../../../build/tests/Verilator/slpp_unit/work/t_preproc.v:125 col:9.
 
 [INFO :PA0201] Parsing source file "t_preproc_def09.v".
 
-[ERROR:PA0207] t_preproc_def09.v:12 Syntax error: mismatched input ''' expecting <EOF>,
+[SYNTX:PA0207] t_preproc_def09.v:12 Syntax error: mismatched input ''' expecting <EOF>,
 'initial $display("start", "msg1"  , "msg2" , "end");'
 ^-- ../../../build/tests/Verilator/slpp_unit/work/t_preproc_def09.v:10 col:0.
 
@@ -5098,7 +5094,7 @@
 
 [INFO :PA0201] Parsing source file "t_preproc_inc_bad.v".
 
-[ERROR:PA0207] t_preproc_inc_inc_bad.vh:10 Syntax error: no viable alternative at input 'xx  // intentional error\n\nendmodule',
+[SYNTX:PA0207] t_preproc_inc_inc_bad.vh:10 Syntax error: no viable alternative at input 'xx  // intentional error\n\nendmodule',
 endmodule
 ^-- ../../../build/tests/Verilator/slpp_unit/work/t_preproc_inc_bad.v:17 col:0.
 
@@ -5110,25 +5106,25 @@
 
 [INFO :PA0201] Parsing source file "t_preproc_noline.v".
 
-[ERROR:PA0207] t_preproc_noline.v:7 Syntax error: no viable alternative at input 'Hello in t_preproc_psl',
+[SYNTX:PA0207] t_preproc_noline.v:7 Syntax error: no viable alternative at input 'Hello in t_preproc_psl',
 Hello in t_preproc_psl.v
          ^-- ../../../build/tests/Verilator/slpp_unit/work/t_preproc_noline.v:7 col:9.
 
 [INFO :PA0201] Parsing source file "t_preproc_persist.v".
 
-[ERROR:PA0207] t_preproc_persist.v:6 Syntax error: no viable alternative at input 'Inside "t_preproc_persist.v"',
+[SYNTX:PA0207] t_preproc_persist.v:6 Syntax error: no viable alternative at input 'Inside "t_preproc_persist.v"',
 Inside "t_preproc_persist.v".
        ^-- ../../../build/tests/Verilator/slpp_unit/work/t_preproc_persist.v:6 col:7.
 
 [INFO :PA0201] Parsing source file "t_preproc_persist2.v".
 
-[ERROR:PA0207] t_preproc_persist2.v:6 Syntax error: no viable alternative at input 'Inside "t_preproc_persist2.v"',
+[SYNTX:PA0207] t_preproc_persist2.v:6 Syntax error: no viable alternative at input 'Inside "t_preproc_persist2.v"',
 Inside "t_preproc_persist2.v".
        ^-- ../../../build/tests/Verilator/slpp_unit/work/t_preproc_persist2.v:6 col:7.
 
 [INFO :PA0201] Parsing source file "t_preproc_persist_inc.v".
 
-[ERROR:PA0207] t_preproc_persist_inc.v:6 Syntax error: no viable alternative at input 'Inside "t_preproc_persist_inc.v"',
+[SYNTX:PA0207] t_preproc_persist_inc.v:6 Syntax error: no viable alternative at input 'Inside "t_preproc_persist_inc.v"',
  Inside "t_preproc_persist_inc.v".
         ^-- ../../../build/tests/Verilator/slpp_unit/work/t_preproc_persist_inc.v:6 col:8.
 
@@ -5136,7 +5132,7 @@
 
 [INFO :PA0201] Parsing source file "t_preproc_undefineall.v".
 
-[ERROR:PA0207] t_preproc_undefineall.v:8 Syntax error: extraneous input '"Test setup error, PREDEF_COMMAND_LINE pre-missing"' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
+[SYNTX:PA0207] t_preproc_undefineall.v:8 Syntax error: extraneous input '"Test setup error, PREDEF_COMMAND_LINE pre-missing"' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
 SURELOG_MACRO_NOT_DEFINED:error!!!  "Test setup error, PREDEF_COMMAND_LINE pre-missing" 
                                     ^-- ../../../build/tests/Verilator/slpp_unit/work/t_preproc_undefineall.v:8 col:36.
 
@@ -5308,7 +5304,7 @@
 
 [INFO :PA0201] Parsing source file "t_trace_primitive.v".
 
-[ERROR:PA0207] t_trace_primitive.v:34 Syntax error: extraneous input 'assign' expecting {'input', 'output', 'reg', '(*', 'table', 'initial'},
+[SYNTX:PA0207] t_trace_primitive.v:34 Syntax error: extraneous input 'assign' expecting {'input', 'output', 'reg', '(*', 'table', 'initial'},
 assign b = ~a;
 ^-- ../../../build/tests/Verilator/slpp_unit/work/t_trace_primitive.v:34 col:0.
 
@@ -5332,7 +5328,7 @@
 
 [INFO :PA0201] Parsing source file "t_tri_gate.v".
 
-[ERROR:PA0207] t_tri_gate.v:21 Syntax error: extraneous input '"Unknown test name"' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
+[SYNTX:PA0207] t_tri_gate.v:21 Syntax error: extraneous input '"Unknown test name"' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
  SURELOG_MACRO_NOT_DEFINED:error!!!  "Unknown test name"
                                      ^-- ../../../build/tests/Verilator/slpp_unit/work/t_tri_gate.v:21 col:37.
 
@@ -5448,7 +5444,7 @@
 
 [INFO :PA0201] Parsing source file "t_var_dup_bad.v".
 
-[ERROR:PA0207] t_var_dup_bad.v:65 Syntax error: extraneous input 'output' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
+[SYNTX:PA0207] t_var_dup_bad.v:65 Syntax error: extraneous input 'output' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
    output bad_reout_port;
    ^-- ../../../build/tests/Verilator/slpp_unit/work/t_var_dup_bad.v:65 col:3.
 
@@ -7186,7 +7182,7 @@
 
 [INFO :PA0201] Parsing source file "t_sv_cpu_code/rom.sv".
 
-[ERROR:PA0207] t_sv_cpu_code/rom.sv:18 Syntax error: no viable alternative at input ''{\n      SURELOG_MACRO_NOT_DEFINED:LDI!!!',
+[SYNTX:PA0207] t_sv_cpu_code/rom.sv:18 Syntax error: no viable alternative at input ''{\n      SURELOG_MACRO_NOT_DEFINED:LDI!!!',
       SURELOG_MACRO_NOT_DEFINED:LDI!!! 
       ^-- ../../../build/tests/Verilator/slpp_unit/work/t_sv_cpu_code/rom.sv:18 col:6.
 
@@ -7220,7 +7216,7 @@
 
 [INFO :PA0201] Parsing source file "t_sv_cpu_code/ports.sv".
 
-[ERROR:PA0207] t_sv_cpu_code/ports.sv:39 Syntax error: extraneous input 'SURELOG_MACRO_NOT_DEFINED:PACKED!!!' expecting {'{', 'packed'},
+[SYNTX:PA0207] t_sv_cpu_code/ports.sv:39 Syntax error: extraneous input 'SURELOG_MACRO_NOT_DEFINED:PACKED!!!' expecting {'{', 'packed'},
   struct SURELOG_MACRO_NOT_DEFINED:PACKED!!! 
          ^-- ../../../build/tests/Verilator/slpp_unit/work/t_sv_cpu_code/ports.sv:39 col:9.
 
@@ -8887,13 +8883,8 @@
 [ERROR:PA0206] t_sv_cpu_code/adrdec.sv:8 Missing timeunit/timeprecision for "adrdec".
 
 [  FATAL] : 0
-[  ERROR] : 635
+[ SYNTAX] : 48
+[  ERROR] : 587
 [WARNING] : 417
 [   NOTE] : 4
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-265.03user 1.59system 4:26.72elapsed 99%CPU (0avgtext+0avgdata 3000236maxresident)k
-13064inputs+17136outputs (23major+752594minor)pagefaults 0swaps
diff --git a/third_party/tests/Yosys/Yosys.log b/third_party/tests/Yosys/Yosys.log
index 91c5659..c41a9cd 100644
--- a/third_party/tests/Yosys/Yosys.log
+++ b/third_party/tests/Yosys/Yosys.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/Yosys/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -22,43 +18,43 @@
 
 [WARNI:PP0103] simple/macros.v:179 Undefining an unknown macro "A".
 
-[ERROR:PA0207] errors/syntax_err05.v:2 Syntax error: extraneous input 'input' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
+[SYNTX:PA0207] errors/syntax_err05.v:2 Syntax error: extraneous input 'input' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', 'context', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
 input x[2:0];
 ^-- ../../../build/tests/Yosys/slpp_unit/work/errors/syntax_err05.v:2 col:0.
 
-[ERROR:PA0207] errors/syntax_err01.v:2 Syntax error: missing {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier} at '[',
+[SYNTX:PA0207] errors/syntax_err01.v:2 Syntax error: missing {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier} at '[',
 integer [31:0]w;
         ^-- ../../../build/tests/Yosys/slpp_unit/work/errors/syntax_err01.v:2 col:8.
 
-[ERROR:PA0207] errors/syntax_err07.v:4 Syntax error: extraneous input '55' expecting ';',
+[SYNTX:PA0207] errors/syntax_err07.v:4 Syntax error: extraneous input '55' expecting ';',
 assign y = (4)55;
               ^-- ../../../build/tests/Yosys/slpp_unit/work/errors/syntax_err07.v:4 col:14.
 
-[ERROR:PA0207] errors/syntax_err08.v:4 Syntax error: no viable alternative at input 'x 55',
+[SYNTX:PA0207] errors/syntax_err08.v:4 Syntax error: no viable alternative at input 'x 55',
 assign y = x 55;
              ^-- ../../../build/tests/Yosys/slpp_unit/work/errors/syntax_err08.v:4 col:13.
 
-[ERROR:PA0207] errors/syntax_err10.v:2 Syntax error: missing {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier} at '[',
+[SYNTX:PA0207] errors/syntax_err10.v:2 Syntax error: missing {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier} at '[',
 parameter integer [2:0]x=0;
                   ^-- ../../../build/tests/Yosys/slpp_unit/work/errors/syntax_err10.v:2 col:18.
 
-[ERROR:PA0207] errors/syntax_err11.v:2 Syntax error: extraneous input 'real' expecting {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier},
+[SYNTX:PA0207] errors/syntax_err11.v:2 Syntax error: extraneous input 'real' expecting {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier},
 parameter integer real x=0;
                   ^-- ../../../build/tests/Yosys/slpp_unit/work/errors/syntax_err11.v:2 col:18.
 
-[ERROR:PA0207] errors/syntax_err03.v:3 Syntax error: mismatched input ']' expecting ':',
+[SYNTX:PA0207] errors/syntax_err03.v:3 Syntax error: mismatched input ']' expecting ':',
   input [3]x
           ^-- ../../../build/tests/Yosys/slpp_unit/work/errors/syntax_err03.v:3 col:10.
 
-[ERROR:PA0207] errors/syntax_err02.v:3 Syntax error: missing {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier} at '[',
+[SYNTX:PA0207] errors/syntax_err02.v:3 Syntax error: missing {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier} at '[',
   input integer [3:0]x
                 ^-- ../../../build/tests/Yosys/slpp_unit/work/errors/syntax_err02.v:3 col:16.
 
-[ERROR:PA0207] errors/syntax_err04.v:2 Syntax error: mismatched input ']' expecting ':',
+[SYNTX:PA0207] errors/syntax_err04.v:2 Syntax error: mismatched input ']' expecting ':',
 wire [3]x;
        ^-- ../../../build/tests/Yosys/slpp_unit/work/errors/syntax_err04.v:2 col:7.
 
-[ERROR:PA0207] various/shregmap.v:15 Syntax error: token recognition error at: '\',
+[SYNTX:PA0207] various/shregmap.v:15 Syntax error: token recognition error at: '\',
 module \$__SHREG_DFF_P_ (input C, D, output Q);
        ^-- ../../../build/tests/Yosys/slpp_unit/work/various/shregmap.v:15 col:7.
 
@@ -961,13 +957,8 @@
 [WARNI:PA0205] sat/sizebits.sv:1 No timescale set for "functions01".
 
 [  FATAL] : 0
-[  ERROR] : 10
+[ SYNTAX] : 10
+[  ERROR] : 0
 [WARNING] : 457
 [   NOTE] : 0
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-17.36user 0.19system 0:17.59elapsed 99%CPU (0avgtext+0avgdata 383316maxresident)k
-4032inputs+2240outputs (9major+95392minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log b/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log
index 74991b4..32ab366 100644
--- a/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log
+++ b/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimAes/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -31,13 +27,8 @@
 [NOTE :EL0511] Nb leaf instances: 40.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 0
 [   NOTE] : 5
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.32user 0.00system 0:01.33elapsed 99%CPU (0avgtext+0avgdata 52224maxresident)k
-288inputs+176outputs (0major+11466minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log b/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log
index 9bc63fc..0959c71 100644
--- a/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log
+++ b/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log
@@ -1,12 +1,8 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimAmber23/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
 
-[ERROR:PA0207] rtl/a23_decode.v:174 Syntax error: mismatched input 'type' expecting {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier},
+[SYNTX:PA0207] rtl/a23_decode.v:174 Syntax error: mismatched input 'type' expecting {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier},
 reg     [3:0]          type;
                        ^-- ../../../build/tests/YosysBigSimAmber23/slpp_unit/work/rtl/a23_decode.v:518 col:23.
 
@@ -143,13 +139,8 @@
 [NOTE :EL0511] Nb leaf instances: 3.
 
 [  FATAL] : 0
-[  ERROR] : 3
+[ SYNTAX] : 1
+[  ERROR] : 2
 [WARNING] : 17
 [   NOTE] : 22
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-6.61user 0.04system 0:06.66elapsed 99%CPU (0avgtext+0avgdata 217396maxresident)k
-40inputs+904outputs (0major+53047minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log b/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log
index bf8b455..3cadbf9 100644
--- a/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log
+++ b/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimLm32/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -188,13 +184,8 @@
 [NOTE :EL0511] Nb leaf instances: 10.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 12
 [WARNING] : 20
 [   NOTE] : 24
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-10.72user 0.10system 0:10.85elapsed 99%CPU (0avgtext+0avgdata 280092maxresident)k
-1664inputs+800outputs (0major+69070minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log b/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log
index a9d0586..da63ee6 100644
--- a/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log
+++ b/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimOpenMsp/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -42,7 +38,7 @@
 
 [WARNI:PP0103] rtl/openMSP430_undefines.v:254 Undefining an unknown macro "PER_CUSTOM_SIZE".
 
-[ERROR:PA0207] sim/sieve.v:1 Syntax error: missing {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier} at '[',
+[SYNTX:PA0207] sim/sieve.v:1 Syntax error: missing {'new', 'byte', 'bit', 'logic', 'signed', 'unsigned', 'var', 'context', 'expect', 'soft', 'global', 'do', 'this', 'randomize', 'final', 'sample', Escaped_identifier, Simple_identifier} at '[',
 pmem[ 512] = 16'h4031;
     ^-- ../../../build/tests/YosysBigSimOpenMsp/slpp_unit/work/sim/sieve.v:1 col:4.
 
@@ -215,13 +211,8 @@
 [NOTE :EL0511] Nb leaf instances: 17.
 
 [  FATAL] : 0
-[  ERROR] : 7
+[ SYNTAX] : 1
+[  ERROR] : 6
 [WARNING] : 33
 [   NOTE] : 33
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-9.71user 0.11system 0:09.83elapsed 99%CPU (0avgtext+0avgdata 216168maxresident)k
-40inputs+1512outputs (0major+53048minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log b/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log
index 09aa79b..23eeabc 100644
--- a/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log
+++ b/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimReed/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -9,14 +5,8 @@
 [ERROR:PP0125] Cannot read the file's content "rtl/BM_lamda.v". Only UTF-8 is supported.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 1
 [WARNING] : 0
 [   NOTE] : 0
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-Command exited with non-zero status 1
-0.09user 0.00system 0:00.10elapsed 91%CPU (0avgtext+0avgdata 27084maxresident)k
-1448inputs+8outputs (1major+5489minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log b/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log
index 95d138e..b8b47a9 100644
--- a/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log
+++ b/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimSoft/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -32,13 +28,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 2
 [   NOTE] : 6
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-2.72user 0.02system 0:02.74elapsed 99%CPU (0avgtext+0avgdata 101500maxresident)k
-40inputs+72outputs (0major+24142minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log b/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log
index a0996e3..631a396 100644
--- a/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log
+++ b/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysBigSimPong/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -56,13 +52,8 @@
 [NOTE :EL0511] Nb leaf instances: 1.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 6
 [   NOTE] : 8
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-3.25user 0.03system 0:03.29elapsed 99%CPU (0avgtext+0avgdata 121492maxresident)k
-736inputs+448outputs (0major+29541minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysBoom/YosysSmallBoom.log b/third_party/tests/YosysBoom/YosysSmallBoom.log
index d37deca..ab9fa11 100644
--- a/third_party/tests/YosysBoom/YosysSmallBoom.log
+++ b/third_party/tests/YosysBoom/YosysSmallBoom.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysSmallBoom/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -2029,13 +2025,8 @@
 [NOTE :EL0511] Nb leaf instances: 182.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 291
 [   NOTE] : 296
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-29.16user 1.38system 0:30.67elapsed 99%CPU (0avgtext+0avgdata 2966120maxresident)k
-11984inputs+199760outputs (60major+810330minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysCam/YosysCam.log b/third_party/tests/YosysCam/YosysCam.log
index 89e8818..9dcd91b 100644
--- a/third_party/tests/YosysCam/YosysCam.log
+++ b/third_party/tests/YosysCam/YosysCam.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysCam/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -43,13 +39,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 0
 [   NOTE] : 7
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-2.59user 0.01system 0:02.61elapsed 99%CPU (0avgtext+0avgdata 58948maxresident)k
-0inputs+664outputs (0major+13466minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysDsp/YosysDsp.log b/third_party/tests/YosysDsp/YosysDsp.log
index 875de0a..8f75df8 100644
--- a/third_party/tests/YosysDsp/YosysDsp.log
+++ b/third_party/tests/YosysDsp/YosysDsp.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysDsp/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -146,13 +142,8 @@
 [NOTE :EL0511] Nb leaf instances: 3.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 5
 [WARNING] : 20
 [   NOTE] : 20
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-7.10user 0.05system 0:07.16elapsed 99%CPU (0avgtext+0avgdata 113388maxresident)k
-0inputs+2000outputs (0major+27148minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysMarlann/YosysMarlann.log b/third_party/tests/YosysMarlann/YosysMarlann.log
index 50ee1db..20ce3ef 100644
--- a/third_party/tests/YosysMarlann/YosysMarlann.log
+++ b/third_party/tests/YosysMarlann/YosysMarlann.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysMarlann/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -40,13 +36,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 2
 [   NOTE] : 7
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-2.54user 0.02system 0:02.57elapsed 99%CPU (0avgtext+0avgdata 62744maxresident)k
-32inputs+520outputs (0major+14864minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysOldTests/openmsp430/YosysOldOpen.log b/third_party/tests/YosysOldTests/openmsp430/YosysOldOpen.log
index b049719..6aeb46c 100644
--- a/third_party/tests/YosysOldTests/openmsp430/YosysOldOpen.log
+++ b/third_party/tests/YosysOldTests/openmsp430/YosysOldOpen.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysOldOpen/slpp_all/surelog.log.
 
 [WARNI:PA0205] rtl/omsp_alu.v:46 No timescale set for "omsp_alu".
@@ -169,13 +165,8 @@
 [NOTE :EL0511] Nb leaf instances: 15.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 20
 [   NOTE] : 31
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-6.76user 0.10system 0:06.91elapsed 99%CPU (0avgtext+0avgdata 163312maxresident)k
-4936inputs+6152outputs (0major+44507minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysOldTests/or1200/YosysOldOr.log b/third_party/tests/YosysOldTests/or1200/YosysOldOr.log
index bb6121e..f58a731 100644
--- a/third_party/tests/YosysOldTests/or1200/YosysOldOr.log
+++ b/third_party/tests/YosysOldTests/or1200/YosysOldOr.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysOldOr/slpp_all/surelog.log.
 
 [INFO :CP0300] Compilation...
@@ -505,13 +501,8 @@
 [NOTE :EL0511] Nb leaf instances: 1635.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 0
 [   NOTE] : 117
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-12.73user 0.26system 0:13.11elapsed 99%CPU (0avgtext+0avgdata 512008maxresident)k
-30352inputs+34760outputs (0major+134756minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log b/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log
index 3887a2d..d93137f 100644
--- a/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log
+++ b/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysOldSasc/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -38,10 +34,10 @@
 
 [NOTE :EL0503] timescale.v:22 Top level module "work@sasc_brg".
 
-[WARNI:EL0505] rtl/sasc_fifo4.v:62 Multiply defined module "work@sasc_fifo4",
+[WARNI:EL0505] timescale.v:3 Multiply defined module "work@sasc_fifo4",
                cache/synth.v:1 previous definition.
 
-[WARNI:EL0505] rtl/sasc_top.v:72 Multiply defined module "work@sasc_top",
+[WARNI:EL0505] timescale.v:11 Multiply defined module "work@sasc_top",
                cache/synth.v:282 previous definition.
 
 [NOTE :EL0504] Multiple top level modules in design.
@@ -55,13 +51,8 @@
 [NOTE :EL0511] Nb leaf instances: 3.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 3
 [WARNING] : 5
 [   NOTE] : 9
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-2.00user 0.01system 0:02.02elapsed 99%CPU (0avgtext+0avgdata 51820maxresident)k
-136inputs+104outputs (0major+11455minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log b/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log
index 734800c..1386a63 100644
--- a/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log
+++ b/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysOldSsPcm/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -32,13 +28,8 @@
 [NOTE :EL0511] Nb leaf instances: 1.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 1
 [WARNING] : 2
 [   NOTE] : 6
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.30user 0.01system 0:01.32elapsed 99%CPU (0avgtext+0avgdata 45936maxresident)k
-40inputs+72outputs (0major+9981minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysOldTests/usb_phy/YosysOldUsb.log b/third_party/tests/YosysOldTests/usb_phy/YosysOldUsb.log
index c55ac69..3c23655 100644
--- a/third_party/tests/YosysOldTests/usb_phy/YosysOldUsb.log
+++ b/third_party/tests/YosysOldTests/usb_phy/YosysOldUsb.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysOldUsb/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -38,7 +34,7 @@
 [WARNI:EL0505] timescale.v:2 Multiply defined module "work@usb_phy",
                cache/synth.v:1 previous definition.
 
-[WARNI:EL0505] rtl/usb_rx_phy.v:78 Multiply defined module "work@usb_rx_phy",
+[WARNI:EL0505] timescale.v:2 Multiply defined module "work@usb_rx_phy",
                cache/synth.v:109 previous definition.
 
 [WARNI:EL0505] timescale.v:2 Multiply defined module "work@usb_tx_phy",
@@ -53,13 +49,8 @@
 [NOTE :EL0511] Nb leaf instances: 2.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 3
 [WARNING] : 6
 [   NOTE] : 7
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-2.12user 0.02system 0:02.15elapsed 99%CPU (0avgtext+0avgdata 64812maxresident)k
-40inputs+136outputs (0major+15005minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysSmall/YosysSmall.log b/third_party/tests/YosysSmall/YosysSmall.log
index 5d72b6b..416a49a 100644
--- a/third_party/tests/YosysSmall/YosysSmall.log
+++ b/third_party/tests/YosysSmall/YosysSmall.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysSmall/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -61,13 +57,8 @@
 [NOTE :EL0511] Nb leaf instances: 0.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 1
 [WARNING] : 6
 [   NOTE] : 9
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-1.43user 0.01system 0:01.46elapsed 99%CPU (0avgtext+0avgdata 45900maxresident)k
-0inputs+608outputs (0major+10119minor)pagefaults 0swaps
diff --git a/third_party/tests/YosysVerx/YosysVerx.log b/third_party/tests/YosysVerx/YosysVerx.log
index e57e160..e131c28 100644
--- a/third_party/tests/YosysVerx/YosysVerx.log
+++ b/third_party/tests/YosysVerx/YosysVerx.log
@@ -1,7 +1,3 @@
-********************************************
-*  SURELOG System Verilog Compiler/Linter  *
-********************************************
-
 [INFO :CM0023] Creating log file ../../../build/tests/YosysVerx/slpp_unit/surelog.log.
 
 [INFO :CM0020] Separate compilation-unit mode is on.
@@ -48,13 +44,8 @@
 [NOTE :EL0511] Nb leaf instances: 1.
 
 [  FATAL] : 0
+[ SYNTAX] : 0
 [  ERROR] : 0
 [WARNING] : 3
 [   NOTE] : 8
 
-********************************************
-*   End SURELOG SVerilog Compiler/Linter   *
-********************************************
-
-8.58user 0.10system 0:08.69elapsed 99%CPU (0avgtext+0avgdata 234896maxresident)k
-0inputs+6152outputs (0major+58797minor)pagefaults 0swaps