Google Git
Sign in
foss-fpga-tools / third_party / Surelog / refs/heads/mithro-patch-1 / . / SVIncCompil / Testcases / YosysTests / architecture
tree: e4362d77245ec2173f5be548558f2cc411fc4b2a [path history] [tgz]
  1. ice40_wrapcarry/
  2. ice40_wrapcarry_adders/
  3. scripts/
  4. synth_achronix/
  5. synth_achronix_error/
  6. synth_anlogic/
  7. synth_anlogic_error/
  8. synth_anlogic_fsm/
  9. synth_anlogic_fulladder/
  10. synth_anlogic_mem/
  11. synth_coolrunner2/
  12. synth_coolrunner2_error/
  13. synth_coolrunner2_fulladder/
  14. synth_easic/
  15. synth_easic_error/
  16. synth_ecp5/
  17. synth_ecp5_error/
  18. synth_ecp5_wide_ffs/
  19. synth_efinix/
  20. synth_efinix_fulladder/
  21. synth_gowin/
  22. synth_gowin_error/
  23. synth_gowin_mem/
  24. synth_greenpak4/
  25. synth_greenpak4_dffs_r/
  26. synth_greenpak4_error/
  27. synth_greenpak4_wide_ffs/
  28. synth_ice40/
  29. synth_ice40_dsp/
  30. synth_ice40_error/
  31. synth_ice40_fulladder/
  32. synth_ice40_mem/
  33. synth_ice40_wide_ffs/
  34. synth_intel/
  35. synth_intel_a10gx/
  36. synth_intel_cyclone10/
  37. synth_intel_cycloneiv/
  38. synth_intel_cycloneive/
  39. synth_intel_cyclonev/
  40. synth_intel_error/
  41. synth_sf2/
  42. synth_sf2_error/
  43. synth_xilinx/
  44. synth_xilinx_dsp/
  45. synth_xilinx_error/
  46. synth_xilinx_mux/
  47. synth_xilinx_srl/
  48. xilinx_srl/
  49. xilinx_ug901_synthesis_examples/
  50. .gitignore
  51. common.v
  52. Makefile
  53. run.sh
Powered by Gitiles| Privacy| Termstxt json