Sign in
foss-fpga-tools
/
third_party
/
Surelog
/
refs/heads/mithro-patch-1
/
.
/
SVIncCompil
/
Testcases
/
YosysTests
/
architecture
tree: e4362d77245ec2173f5be548558f2cc411fc4b2a [
path history
]
[
tgz
]
ice40_wrapcarry/
ice40_wrapcarry_adders/
scripts/
synth_achronix/
synth_achronix_error/
synth_anlogic/
synth_anlogic_error/
synth_anlogic_fsm/
synth_anlogic_fulladder/
synth_anlogic_mem/
synth_coolrunner2/
synth_coolrunner2_error/
synth_coolrunner2_fulladder/
synth_easic/
synth_easic_error/
synth_ecp5/
synth_ecp5_error/
synth_ecp5_wide_ffs/
synth_efinix/
synth_efinix_fulladder/
synth_gowin/
synth_gowin_error/
synth_gowin_mem/
synth_greenpak4/
synth_greenpak4_dffs_r/
synth_greenpak4_error/
synth_greenpak4_wide_ffs/
synth_ice40/
synth_ice40_dsp/
synth_ice40_error/
synth_ice40_fulladder/
synth_ice40_mem/
synth_ice40_wide_ffs/
synth_intel/
synth_intel_a10gx/
synth_intel_cyclone10/
synth_intel_cycloneiv/
synth_intel_cycloneive/
synth_intel_cyclonev/
synth_intel_error/
synth_sf2/
synth_sf2_error/
synth_xilinx/
synth_xilinx_dsp/
synth_xilinx_error/
synth_xilinx_mux/
synth_xilinx_srl/
xilinx_srl/
xilinx_ug901_synthesis_examples/
.gitignore
common.v
Makefile
run.sh