Sign in
foss-fpga-tools
/
third_party
/
yosys
/
refs/heads/eddie/exp2
/
.
/
examples
/
basys3
/
run_vivado.tcl
blob: c3b6a610e77cb35a9e314cc40be57f941403cb6c [
file
] [
log
] [
blame
] [
edit
]
read_xdc example
.
xdc
read_edif example
.
edif
link_design -part xc7a35tcpg236-1 -top example
opt_design
place_design
route_design
report_utilization
report_timing
write_bitstream -force example
.
bit