Sign in
foss-fpga-tools
/
third_party
/
yosys
/
refs/heads/mwk/xilinx-dff-improvements
/
.
/
tests
/
sva
/
sva_throughout.sv
blob: 7e036a0669dd411ab4efbba2d5939884a3a0d0de [
file
] [
log
] [
blame
] [
edit
]
module
top
(
input clk
,
input a
,
b
,
c
,
d
);
default
clocking
@(
posedge clk
);
endclocking
assert
property
(
a
|=>
b throughout
(
c
##1 d)
);
`ifndef FAIL
assume property (
a |=> b && c
);
assume property (
b && c |=> b && d
);
`
endif
endmodule