Add missing license headers

Signed-off-by: Wojciech Tatarski <wtatarski@antmicro.com>
diff --git a/.github/workflows/build-and-test.sh b/.github/workflows/build-and-test.sh
old mode 100755
new mode 100644
index 6a33c39..c395f02
--- a/.github/workflows/build-and-test.sh
+++ b/.github/workflows/build-and-test.sh
@@ -1,4 +1,11 @@
 #! /bin/bash
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
 
 set -e
 
diff --git a/.github/workflows/common.sh b/.github/workflows/common.sh
index 53e7033..d7329a8 100644
--- a/.github/workflows/common.sh
+++ b/.github/workflows/common.sh
@@ -1,4 +1,11 @@
 #! /bin/bash
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
 
 # Look for location binaries first
 export PATH="$HOME/.local-bin/bin:$PATH"
diff --git a/.github/workflows/format-check.sh b/.github/workflows/format-check.sh
old mode 100755
new mode 100644
index 20814bf..69cf9a6
--- a/.github/workflows/format-check.sh
+++ b/.github/workflows/format-check.sh
@@ -1,4 +1,11 @@
 #! /bin/bash
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
 
 set -e
 
diff --git a/.github/workflows/licensing.yml b/.github/workflows/licensing.yml
index 4a88869..bf6356a 100644
--- a/.github/workflows/licensing.yml
+++ b/.github/workflows/licensing.yml
@@ -23,5 +23,7 @@
       with:
         exclude_license: |
           ./design_introspection-plugin/tests/selection_to_tcl_list/selection_to_tcl_list.v
+          ./third_party/minilitex_ddr_arty/minilitex_ddr_arty.v
+          ./third_party/VexRiscv_Lite/VexRiscv_Lite.v
         third_party: |
           ./third_party/googletest/
diff --git a/.github/workflows/setup.sh b/.github/workflows/setup.sh
old mode 100755
new mode 100644
index ead84e7..9e5b3f7
--- a/.github/workflows/setup.sh
+++ b/.github/workflows/setup.sh
@@ -1,4 +1,11 @@
 #! /bin/bash
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
 
 set -e
 
diff --git a/Makefile b/Makefile
index 180acdb..a2ec069 100644
--- a/Makefile
+++ b/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 PLUGIN_LIST := fasm xdc params sdc ql-iob design_introspection integrateinv ql-qlf
 PLUGINS := $(foreach plugin,$(PLUGIN_LIST),$(plugin).so)
 PLUGINS_INSTALL := $(foreach plugin,$(PLUGIN_LIST),install_$(plugin))
diff --git a/design_introspection-plugin/Makefile b/design_introspection-plugin/Makefile
index 2e1ffde..970624e 100644
--- a/design_introspection-plugin/Makefile
+++ b/design_introspection-plugin/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 NAME = design_introspection
 SOURCES = design_introspection.cc \
 	  get_cmd.cc \
diff --git a/design_introspection-plugin/tests/Makefile b/design_introspection-plugin/tests/Makefile
index e9f50a3..18172c9 100644
--- a/design_introspection-plugin/tests/Makefile
+++ b/design_introspection-plugin/tests/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 TESTS = get_nets \
 	get_ports \
 	get_cells \
diff --git a/design_introspection-plugin/tests/get_cells/get_cells.v b/design_introspection-plugin/tests/get_cells/get_cells.v
index 3a6f2eb..792182e 100644
--- a/design_introspection-plugin/tests/get_cells/get_cells.v
+++ b/design_introspection-plugin/tests/get_cells/get_cells.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk,
     output [3:0] led,
diff --git a/design_introspection-plugin/tests/get_count/Makefile b/design_introspection-plugin/tests/get_count/Makefile
index 1632151..aea11d3 100644
--- a/design_introspection-plugin/tests/get_count/Makefile
+++ b/design_introspection-plugin/tests/get_count/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 test:
 	yosys -p "tcl script.tcl"
 	touch ok
diff --git a/design_introspection-plugin/tests/get_count/get_count.v b/design_introspection-plugin/tests/get_count/get_count.v
index 935a0c1..3bb4d55 100644
--- a/design_introspection-plugin/tests/get_count/get_count.v
+++ b/design_introspection-plugin/tests/get_count/get_count.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module my_gate (
     input  wire A,
     output wire Y
diff --git a/design_introspection-plugin/tests/get_nets/get_nets.v b/design_introspection-plugin/tests/get_nets/get_nets.v
index 33c5805..3d7e0f1 100644
--- a/design_introspection-plugin/tests/get_nets/get_nets.v
+++ b/design_introspection-plugin/tests/get_nets/get_nets.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk,
     output [3:0] led,
diff --git a/design_introspection-plugin/tests/get_pins/get_pins.v b/design_introspection-plugin/tests/get_pins/get_pins.v
index 443e294..846e861 100644
--- a/design_introspection-plugin/tests/get_pins/get_pins.v
+++ b/design_introspection-plugin/tests/get_pins/get_pins.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk,
     output [3:0] led,
diff --git a/design_introspection-plugin/tests/get_ports/get_ports.v b/design_introspection-plugin/tests/get_ports/get_ports.v
index 33c5805..3d7e0f1 100644
--- a/design_introspection-plugin/tests/get_ports/get_ports.v
+++ b/design_introspection-plugin/tests/get_ports/get_ports.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk,
     output [3:0] led,
diff --git a/fasm-plugin/Makefile b/fasm-plugin/Makefile
index 71188e0..5d40bb9 100644
--- a/fasm-plugin/Makefile
+++ b/fasm-plugin/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 NAME = fasm
 SOURCES = fasm.cc
 include ../Makefile_plugin.common
diff --git a/fasm-plugin/tests/Makefile b/fasm-plugin/tests/Makefile
index 320dfcf..66d5a63 100644
--- a/fasm-plugin/tests/Makefile
+++ b/fasm-plugin/tests/Makefile
@@ -1 +1,9 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 include $(shell pwd)/../../Makefile_test.common
diff --git a/integrateinv-plugin/Makefile b/integrateinv-plugin/Makefile
index b966810..de1f3ce 100644
--- a/integrateinv-plugin/Makefile
+++ b/integrateinv-plugin/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 NAME = integrateinv
 SOURCES = integrateinv.cc
 include ../Makefile_plugin.common
diff --git a/integrateinv-plugin/tests/Makefile b/integrateinv-plugin/tests/Makefile
index 987017d..a3ed668 100644
--- a/integrateinv-plugin/tests/Makefile
+++ b/integrateinv-plugin/tests/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 TESTS = fanout \
 	hierarchy \
 	multi_bit \
diff --git a/integrateinv-plugin/tests/fanout/fanout.v b/integrateinv-plugin/tests/fanout/fanout.v
index a396c78..2ec8447 100644
--- a/integrateinv-plugin/tests/fanout/fanout.v
+++ b/integrateinv-plugin/tests/fanout/fanout.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 (* blackbox *)
 module box(
     (* invertible_pin="INV_A" *)
diff --git a/integrateinv-plugin/tests/hierarchy/hierarchy.v b/integrateinv-plugin/tests/hierarchy/hierarchy.v
index 08eccac..e0fdf2f 100644
--- a/integrateinv-plugin/tests/hierarchy/hierarchy.v
+++ b/integrateinv-plugin/tests/hierarchy/hierarchy.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 (* blackbox *)
 module box(
     (* invertible_pin="INV_A" *)
diff --git a/integrateinv-plugin/tests/multi_bit/multi_bit.v b/integrateinv-plugin/tests/multi_bit/multi_bit.v
index f02c7bd..2261f99 100644
--- a/integrateinv-plugin/tests/multi_bit/multi_bit.v
+++ b/integrateinv-plugin/tests/multi_bit/multi_bit.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 (* blackbox *)
 module box(
     (* invertible_pin="INV_A" *)
diff --git a/integrateinv-plugin/tests/single_bit/single_bit.v b/integrateinv-plugin/tests/single_bit/single_bit.v
index edeab5e..8125435 100644
--- a/integrateinv-plugin/tests/single_bit/single_bit.v
+++ b/integrateinv-plugin/tests/single_bit/single_bit.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 (* blackbox *)
 module box(
     (* invertible_pin="INV_A" *)
diff --git a/integrateinv-plugin/tests/toplevel/toplevel.v b/integrateinv-plugin/tests/toplevel/toplevel.v
index 652eff9..69480c1 100644
--- a/integrateinv-plugin/tests/toplevel/toplevel.v
+++ b/integrateinv-plugin/tests/toplevel/toplevel.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 (* blackbox *)
 module box(
     (* invertible_pin="INV_A" *)
diff --git a/params-plugin/Makefile b/params-plugin/Makefile
index ec98ed1..d3db121 100644
--- a/params-plugin/Makefile
+++ b/params-plugin/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 NAME = params
 SOURCES = params.cc
 include ../Makefile_plugin.common
diff --git a/params-plugin/tests/Makefile b/params-plugin/tests/Makefile
index eceb128..df5a7d5 100644
--- a/params-plugin/tests/Makefile
+++ b/params-plugin/tests/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 TESTS = pll
 include $(shell pwd)/../../Makefile_test.common
 
diff --git a/params-plugin/tests/compare_output_json.py b/params-plugin/tests/compare_output_json.py
index 3b437e8..a576068 100644
--- a/params-plugin/tests/compare_output_json.py
+++ b/params-plugin/tests/compare_output_json.py
@@ -1,4 +1,13 @@
 #!/usr/bin/env python3
+# -*- coding: utf-8 -*-
+#
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
 """
 
 This script extracts the top module cells and their corresponding parameters
diff --git a/params-plugin/tests/pll/pll.v b/params-plugin/tests/pll/pll.v
index fdc3ad9..e5bb122 100644
--- a/params-plugin/tests/pll/pll.v
+++ b/params-plugin/tests/pll/pll.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     (* dont_touch = "true" *) input clk100,
     input cpu_reset,
diff --git a/params-plugin/tests/pll/techmaps/cells_map.v b/params-plugin/tests/pll/techmaps/cells_map.v
index baac0a1..57355a8 100644
--- a/params-plugin/tests/pll/techmaps/cells_map.v
+++ b/params-plugin/tests/pll/techmaps/cells_map.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 // ============================================================================
 // CMT
 
diff --git a/params-plugin/tests/pll/techmaps/cells_sim.v b/params-plugin/tests/pll/techmaps/cells_sim.v
index d3bc29b..244f484 100644
--- a/params-plugin/tests/pll/techmaps/cells_sim.v
+++ b/params-plugin/tests/pll/techmaps/cells_sim.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 // ============================================================================
 // CMT
 
diff --git a/ql-iob-plugin/Makefile b/ql-iob-plugin/Makefile
index 741d031..2dc1fd0 100644
--- a/ql-iob-plugin/Makefile
+++ b/ql-iob-plugin/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 NAME = ql-iob
 SOURCES = ql-iob.cc pcf_parser.cc pinmap_parser.cc
 include ../Makefile_plugin.common
diff --git a/ql-iob-plugin/tests/Makefile b/ql-iob-plugin/tests/Makefile
index 7575db9..004013b 100644
--- a/ql-iob-plugin/tests/Makefile
+++ b/ql-iob-plugin/tests/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 TESTS = sdiomux ckpad
 
 all: clean $(addsuffix /ok,$(TESTS))
diff --git a/ql-iob-plugin/tests/ckpad/Makefile b/ql-iob-plugin/tests/ckpad/Makefile
index 912d6f4..3bef986 100644
--- a/ql-iob-plugin/tests/ckpad/Makefile
+++ b/ql-iob-plugin/tests/ckpad/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 # TODO: Integrate this in the Makefile_test.command environment ?
 test:
 	@yosys -s script.ys -q -q -l $@.log
diff --git a/ql-iob-plugin/tests/ckpad/design.v b/ql-iob-plugin/tests/ckpad/design.v
index dda0c91..2828f3c 100644
--- a/ql-iob-plugin/tests/ckpad/design.v
+++ b/ql-iob-plugin/tests/ckpad/design.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input wire clk0,
     input wire clk1,
diff --git a/ql-iob-plugin/tests/common/pp3_cells_map.v b/ql-iob-plugin/tests/common/pp3_cells_map.v
index 562e8d9..c8e0f23 100644
--- a/ql-iob-plugin/tests/common/pp3_cells_map.v
+++ b/ql-iob-plugin/tests/common/pp3_cells_map.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module \$_DFF_P_ (
     D,
     Q,
diff --git a/ql-iob-plugin/tests/common/pp3_cells_sim.v b/ql-iob-plugin/tests/common/pp3_cells_sim.v
index 4a52f97..7bb0daf 100644
--- a/ql-iob-plugin/tests/common/pp3_cells_sim.v
+++ b/ql-iob-plugin/tests/common/pp3_cells_sim.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module inpad (
     output Q,
     (* iopad_external_pin *)
diff --git a/ql-iob-plugin/tests/sdiomux/Makefile b/ql-iob-plugin/tests/sdiomux/Makefile
index 912d6f4..3bef986 100644
--- a/ql-iob-plugin/tests/sdiomux/Makefile
+++ b/ql-iob-plugin/tests/sdiomux/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 # TODO: Integrate this in the Makefile_test.command environment ?
 test:
 	@yosys -s script.ys -q -q -l $@.log
diff --git a/ql-iob-plugin/tests/sdiomux/design.v b/ql-iob-plugin/tests/sdiomux/design.v
index dde303f..831bd51 100644
--- a/ql-iob-plugin/tests/sdiomux/design.v
+++ b/ql-iob-plugin/tests/sdiomux/design.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input  wire       clk,
     output wire [3:0] led,
diff --git a/ql-qlf-plugin/Makefile b/ql-qlf-plugin/Makefile
index 0807881..c17cc06 100644
--- a/ql-qlf-plugin/Makefile
+++ b/ql-qlf-plugin/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 NAME = ql-qlf
 SOURCES = synth_quicklogic.cc \
 	  ql-dsp.cc
diff --git a/ql-qlf-plugin/common/cells_sim.v b/ql-qlf-plugin/common/cells_sim.v
index 4c17762..3eb48fb 100644
--- a/ql-qlf-plugin/common/cells_sim.v
+++ b/ql-qlf-plugin/common/cells_sim.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 
 module inv(output Q, input A);
     assign Q = A ? 0 : 1;
diff --git a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_arith_map.v b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_arith_map.v
index 5feb614..99c81f0 100644
--- a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_arith_map.v
+++ b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_arith_map.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 (* techmap_celltype = "$alu" *)
 module _80_quicklogic_alu (A, B, CI, BI, X, Y, CO);
     parameter A_SIGNED = 0;
diff --git a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_cells_sim.v b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_cells_sim.v
index 1200018..c0cd369 100644
--- a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_cells_sim.v
+++ b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_cells_sim.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 (* abc9_box, lib_whitebox *)
 module adder_lut4(
    output lut4_out,
diff --git a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_ffs_map.v b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_ffs_map.v
index 4a0adf4..aea5300 100644
--- a/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_ffs_map.v
+++ b/ql-qlf-plugin/ql-qlf-k4n8/qlf_k4n8_ffs_map.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module \$_DFF_P_ (D, Q, C);
     input D;
     input C;
diff --git a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_arith_map.v b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_arith_map.v
index 6800b22..2d8dee5 100644
--- a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_arith_map.v
+++ b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_arith_map.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 //////////////////////////
 //      arithmetic      //
 //////////////////////////
diff --git a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_brams_map.v b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_brams_map.v
index 7f25a90..190f48a 100644
--- a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_brams_map.v
+++ b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_brams_map.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 
 module \$__QLF_RAM16K (
 	output [31:0] RDATA,
diff --git a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_cells_sim.v b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_cells_sim.v
index b3f8357..36872ce 100644
--- a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_cells_sim.v
+++ b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_cells_sim.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 (* abc9_box, lib_whitebox *)
 module adder(
     output sumout,
diff --git a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_dsp_map.v b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_dsp_map.v
index bab24e5..4b8ae64 100644
--- a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_dsp_map.v
+++ b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_dsp_map.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module \$__MUL16X16 (input [15:0] A, input [15:0] B, output [31:0] Y);
 	parameter A_SIGNED = 0;
 	parameter B_SIGNED = 0;
diff --git a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_ffs_map.v b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_ffs_map.v
index 7347215..b223430 100644
--- a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_ffs_map.v
+++ b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_ffs_map.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 // Basic DFF
 
 module \$_DFF_P_ (D, C, Q);
diff --git a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_lut_map.v b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_lut_map.v
index f03788d..e8b2a64 100644
--- a/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_lut_map.v
+++ b/ql-qlf-plugin/ql-qlf-k6n10/qlf_k6n10_lut_map.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 `ifndef NO_LUT
 module \$lut (A, Y);
     parameter WIDTH = 0;
diff --git a/ql-qlf-plugin/tests/Makefile b/ql-qlf-plugin/tests/Makefile
index 46587e7..3377ef7 100644
--- a/ql-qlf-plugin/tests/Makefile
+++ b/ql-qlf-plugin/tests/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 # The bram test will be enable in a future PR after it's been fixed.
 
 TESTS = dffs \
diff --git a/ql-qlf-plugin/tests/bram/bram.v b/ql-qlf-plugin/tests/bram/bram.v
index 3c251b7..ab531a0 100644
--- a/ql-qlf-plugin/tests/bram/bram.v
+++ b/ql-qlf-plugin/tests/bram/bram.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module BRAM #(parameter AWIDTH = 9,
               parameter DWIDTH = 32)
        (clk,
diff --git a/ql-qlf-plugin/tests/dffs/dffs.v b/ql-qlf-plugin/tests/dffs/dffs.v
index 293b1af..702c385 100644
--- a/ql-qlf-plugin/tests/dffs/dffs.v
+++ b/ql-qlf-plugin/tests/dffs/dffs.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module my_dff (
     input d,
     clk,
diff --git a/ql-qlf-plugin/tests/full_adder/full_adder.v b/ql-qlf-plugin/tests/full_adder/full_adder.v
index 4c542cf..0af21c4 100644
--- a/ql-qlf-plugin/tests/full_adder/full_adder.v
+++ b/ql-qlf-plugin/tests/full_adder/full_adder.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module full_adder (
     input  wire [`WIDTH-1:0] A,
     input  wire [`WIDTH-1:0] B,
diff --git a/ql-qlf-plugin/tests/iob_no_flatten/iob_no_flatten.v b/ql-qlf-plugin/tests/iob_no_flatten/iob_no_flatten.v
index 8dea6f0..753eaa6 100644
--- a/ql-qlf-plugin/tests/iob_no_flatten/iob_no_flatten.v
+++ b/ql-qlf-plugin/tests/iob_no_flatten/iob_no_flatten.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module my_dff (
     input d,
     clk,
diff --git a/ql-qlf-plugin/tests/latches/latches.v b/ql-qlf-plugin/tests/latches/latches.v
index 4cc26b0..fd7f312 100644
--- a/ql-qlf-plugin/tests/latches/latches.v
+++ b/ql-qlf-plugin/tests/latches/latches.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module latchp (
     input d,
     clk,
diff --git a/ql-qlf-plugin/tests/logic/logic.v b/ql-qlf-plugin/tests/logic/logic.v
index 17464af..efc53c7 100644
--- a/ql-qlf-plugin/tests/logic/logic.v
+++ b/ql-qlf-plugin/tests/logic/logic.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input [0:7] in,
     output B1,
diff --git a/ql-qlf-plugin/tests/mac_unit/mac_unit.v b/ql-qlf-plugin/tests/mac_unit/mac_unit.v
index bcec450..8b5c1c4 100644
--- a/ql-qlf-plugin/tests/mac_unit/mac_unit.v
+++ b/ql-qlf-plugin/tests/mac_unit/mac_unit.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module mac_unit(a, b, out);
   parameter DATA_WIDTH = 16;
   input [DATA_WIDTH - 1 : 0] a, b;
diff --git a/ql-qlf-plugin/tests/multiplier/multiplier.v b/ql-qlf-plugin/tests/multiplier/multiplier.v
index 70f9a23..e3a4d7f 100644
--- a/ql-qlf-plugin/tests/multiplier/multiplier.v
+++ b/ql-qlf-plugin/tests/multiplier/multiplier.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module mult16x16(a, b, out);
   parameter DATA_WIDTH = 16;
   input [DATA_WIDTH - 1 : 0] a, b;
diff --git a/ql-qlf-plugin/tests/shreg/shreg.v b/ql-qlf-plugin/tests/shreg/shreg.v
index e3bc955..d0569c9 100644
--- a/ql-qlf-plugin/tests/shreg/shreg.v
+++ b/ql-qlf-plugin/tests/shreg/shreg.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input  wire I,
     input  wire C,
diff --git a/sdc-plugin/Makefile b/sdc-plugin/Makefile
index f45efdc..7fe61e4 100644
--- a/sdc-plugin/Makefile
+++ b/sdc-plugin/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 NAME = sdc
 SOURCES = buffers.cc \
           clocks.cc \
diff --git a/sdc-plugin/tests/Makefile b/sdc-plugin/tests/Makefile
index 1361f8e..cfcbd8d 100644
--- a/sdc-plugin/tests/Makefile
+++ b/sdc-plugin/tests/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 # abc9 - test that abc9.D is correctly set after importing a clock.
 # counter, counter2, pll - test buffer and clock divider propagation
 # set_false_path - test the set_false_path command
diff --git a/sdc-plugin/tests/abc9/abc9.v b/sdc-plugin/tests/abc9/abc9.v
index 1bb7453..b87d2bf 100644
--- a/sdc-plugin/tests/abc9/abc9.v
+++ b/sdc-plugin/tests/abc9/abc9.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input  clk1,
     clk2,
diff --git a/sdc-plugin/tests/counter/counter.v b/sdc-plugin/tests/counter/counter.v
index 88e9f9e..6478a4c 100644
--- a/sdc-plugin/tests/counter/counter.v
+++ b/sdc-plugin/tests/counter/counter.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     input clk2,
diff --git a/sdc-plugin/tests/counter2/counter2.v b/sdc-plugin/tests/counter2/counter2.v
index 88e9f9e..6478a4c 100644
--- a/sdc-plugin/tests/counter2/counter2.v
+++ b/sdc-plugin/tests/counter2/counter2.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     input clk2,
diff --git a/sdc-plugin/tests/get_clocks/get_clocks.v b/sdc-plugin/tests/get_clocks/get_clocks.v
index 05c1e9a..4218db5 100644
--- a/sdc-plugin/tests/get_clocks/get_clocks.v
+++ b/sdc-plugin/tests/get_clocks/get_clocks.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     input clk2,
diff --git a/sdc-plugin/tests/period_check/period_check.v b/sdc-plugin/tests/period_check/period_check.v
index 45fec91..653f5f1 100644
--- a/sdc-plugin/tests/period_check/period_check.v
+++ b/sdc-plugin/tests/period_check/period_check.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     (* CLOCK_SIGNAL = "yes", WAVEFORM = "0 5" *)
     input clk,
diff --git a/sdc-plugin/tests/period_format_check/period_format_check.v b/sdc-plugin/tests/period_format_check/period_format_check.v
index 323d3ea..befb6cb 100644
--- a/sdc-plugin/tests/period_format_check/period_format_check.v
+++ b/sdc-plugin/tests/period_format_check/period_format_check.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     (* CLOCK_SIGNAL = "yes", PERIOD = "bad value", WAVEFORM = "0 5" *)
     input clk,
diff --git a/sdc-plugin/tests/pll/pll.v b/sdc-plugin/tests/pll/pll.v
index 88fd35a..7c0045c 100644
--- a/sdc-plugin/tests/pll/pll.v
+++ b/sdc-plugin/tests/pll/pll.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     input cpu_reset,
diff --git a/sdc-plugin/tests/pll_approx_equal/pll_approx_equal.v b/sdc-plugin/tests/pll_approx_equal/pll_approx_equal.v
index 7cc4460..a3509bd 100644
--- a/sdc-plugin/tests/pll_approx_equal/pll_approx_equal.v
+++ b/sdc-plugin/tests/pll_approx_equal/pll_approx_equal.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     input cpu_reset,
diff --git a/sdc-plugin/tests/pll_dangling_wires/pll_dangling_wires.v b/sdc-plugin/tests/pll_dangling_wires/pll_dangling_wires.v
index ab636af..a76a39e 100644
--- a/sdc-plugin/tests/pll_dangling_wires/pll_dangling_wires.v
+++ b/sdc-plugin/tests/pll_dangling_wires/pll_dangling_wires.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input  clk,
     input  cpu_reset,
diff --git a/sdc-plugin/tests/pll_div/pll_div.v b/sdc-plugin/tests/pll_div/pll_div.v
index df7046e..e073918 100644
--- a/sdc-plugin/tests/pll_div/pll_div.v
+++ b/sdc-plugin/tests/pll_div/pll_div.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     input cpu_reset,
diff --git a/sdc-plugin/tests/pll_fbout_phase/pll_fbout_phase.v b/sdc-plugin/tests/pll_fbout_phase/pll_fbout_phase.v
index a2d8104..f7ff414 100644
--- a/sdc-plugin/tests/pll_fbout_phase/pll_fbout_phase.v
+++ b/sdc-plugin/tests/pll_fbout_phase/pll_fbout_phase.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     input cpu_reset,
diff --git a/sdc-plugin/tests/pll_propagated/pll_propagated.v b/sdc-plugin/tests/pll_propagated/pll_propagated.v
index 88fd35a..7c0045c 100644
--- a/sdc-plugin/tests/pll_propagated/pll_propagated.v
+++ b/sdc-plugin/tests/pll_propagated/pll_propagated.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     input cpu_reset,
diff --git a/sdc-plugin/tests/restore_from_json/restore_from_json.v b/sdc-plugin/tests/restore_from_json/restore_from_json.v
index 3c7997a..cc39884 100644
--- a/sdc-plugin/tests/restore_from_json/restore_from_json.v
+++ b/sdc-plugin/tests/restore_from_json/restore_from_json.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input  clk,
     input  i,
diff --git a/sdc-plugin/tests/set_clock_groups/set_clock_groups.v b/sdc-plugin/tests/set_clock_groups/set_clock_groups.v
index 33c5805..3d7e0f1 100644
--- a/sdc-plugin/tests/set_clock_groups/set_clock_groups.v
+++ b/sdc-plugin/tests/set_clock_groups/set_clock_groups.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk,
     output [3:0] led,
diff --git a/sdc-plugin/tests/set_false_path/set_false_path.v b/sdc-plugin/tests/set_false_path/set_false_path.v
index 33c5805..3d7e0f1 100644
--- a/sdc-plugin/tests/set_false_path/set_false_path.v
+++ b/sdc-plugin/tests/set_false_path/set_false_path.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk,
     output [3:0] led,
diff --git a/sdc-plugin/tests/set_max_delay/set_max_delay.v b/sdc-plugin/tests/set_max_delay/set_max_delay.v
index 33c5805..3d7e0f1 100644
--- a/sdc-plugin/tests/set_max_delay/set_max_delay.v
+++ b/sdc-plugin/tests/set_max_delay/set_max_delay.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk,
     output [3:0] led,
diff --git a/sdc-plugin/tests/waveform_check/waveform_check.v b/sdc-plugin/tests/waveform_check/waveform_check.v
index b1723fa..4115c7e 100644
--- a/sdc-plugin/tests/waveform_check/waveform_check.v
+++ b/sdc-plugin/tests/waveform_check/waveform_check.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     (* CLOCK_SIGNAL = "yes", PERIOD = "10", WAVEFORM = "bad value" *)
     input clk,
diff --git a/third_party/VexRiscv_Lite/LICENSE b/third_party/VexRiscv_Lite/LICENSE
new file mode 100644
index 0000000..0675e44
--- /dev/null
+++ b/third_party/VexRiscv_Lite/LICENSE
@@ -0,0 +1,21 @@
+MIT License
+
+Copyright (c) 2016 Spinal HDL contributors
+
+Permission is hereby granted, free of charge, to any person obtaining a copy
+of this software and associated documentation files (the "Software"), to deal
+in the Software without restriction, including without limitation the rights
+to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
+copies of the Software, and to permit persons to whom the Software is
+furnished to do so, subject to the following conditions:
+
+The above copyright notice and this permission notice shall be included in all
+copies or substantial portions of the Software.
+
+THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
+FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
+AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
+LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
+OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
+SOFTWARE.
\ No newline at end of file
diff --git a/third_party/VexRiscv_Lite/README.yosys-symbiflow-plugins b/third_party/VexRiscv_Lite/README.yosys-symbiflow-plugins
new file mode 100644
index 0000000..534dcfa
--- /dev/null
+++ b/third_party/VexRiscv_Lite/README.yosys-symbiflow-plugins
@@ -0,0 +1,9 @@
+Name: This repository hosts a RISC-V implementation written in SpinalHDL.
+Short Name: VexRiscv
+URL: https://github.com/SpinalHDL/VexRiscv
+Version: 0
+Date: 16/06/2019
+License: MIT License
+
+Description:
+This package is used as stimuli in some test cases that verify that the Yosys plugins produce expected results.
diff --git a/xdc-plugin/tests/minilitex_ddr_arty/VexRiscv_Lite.v b/third_party/VexRiscv_Lite/VexRiscv_Lite.v
similarity index 100%
rename from xdc-plugin/tests/minilitex_ddr_arty/VexRiscv_Lite.v
rename to third_party/VexRiscv_Lite/VexRiscv_Lite.v
diff --git a/third_party/minilitex_ddr_arty/LICENSE b/third_party/minilitex_ddr_arty/LICENSE
new file mode 100644
index 0000000..4627603
--- /dev/null
+++ b/third_party/minilitex_ddr_arty/LICENSE
@@ -0,0 +1,34 @@
+LiteX is a Migen/MiSoC based Core/SoC builder that provides the infrastructure to
+easily create Cores/SoCs.
+
+Unless otherwise noted, LiteX is copyright (C) 2012-2020 Enjoy-Digital.
+Unless otherwise noted, MiSoC is copyright (C) 2012-2015 Enjoy-Digital.
+Unless otherwise noted, MiSoC is copyright (C) 2007-2015 M-Labs Ltd.
+All rights reserved.
+
+Redistribution and use in source and binary forms, with or without modification,
+are permitted provided that the following conditions are met:
+
+1. Redistributions of source code must retain the above copyright notice, this
+   list of conditions and the following disclaimer.
+2. Redistributions in binary form must reproduce the above copyright notice,
+   this list of conditions and the following disclaimer in the documentation
+   and/or other materials provided with the distribution.
+
+THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
+ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
+WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
+DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
+ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
+(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
+LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
+ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+(INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
+SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+
+
+Other authors retain ownership of their contributions. If a submission can
+reasonably be considered independently copyrightable, it's yours and we
+encourage you to claim it with appropriate copyright notices. This submission
+then falls under the "otherwise noted" category. All submissions are strongly
+encouraged to use the two-clause BSD license reproduced above.
diff --git a/third_party/minilitex_ddr_arty/README.yosys-symbiflow-plugins b/third_party/minilitex_ddr_arty/README.yosys-symbiflow-plugins
new file mode 100644
index 0000000..0bc2bf1
--- /dev/null
+++ b/third_party/minilitex_ddr_arty/README.yosys-symbiflow-plugins
@@ -0,0 +1,12 @@
+Name: LiteX is a Migen/MiSoC based Core/SoC builder that provides the infrastructure to easily create Cores/SoCs (with or without CPU).
+Short Name: litex
+URL: https://github.com/enjoy-digital/litex
+Version: 0
+Revision: 9b11e919
+License: BSD-2-Clause
+
+Description:
+This package is used as stimuli in some test cases that verify that the Yosys plugins produce expected results.
+
+Local Modifications:
+Adjustments for testing purposes to the generated netlist.
diff --git a/xdc-plugin/tests/minilitex_ddr_arty/minilitex_ddr_arty.v b/third_party/minilitex_ddr_arty/minilitex_ddr_arty.v
similarity index 100%
rename from xdc-plugin/tests/minilitex_ddr_arty/minilitex_ddr_arty.v
rename to third_party/minilitex_ddr_arty/minilitex_ddr_arty.v
diff --git a/xdc-plugin/BANK.v b/xdc-plugin/BANK.v
index 6a6f27d..c3a617f 100644
--- a/xdc-plugin/BANK.v
+++ b/xdc-plugin/BANK.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module BANK();
 	parameter FASM_EXTRA = "INTERNAL_VREF";
 	parameter NUMBER = 0;
diff --git a/xdc-plugin/Makefile b/xdc-plugin/Makefile
index 0ca7ba3..9ed5452 100644
--- a/xdc-plugin/Makefile
+++ b/xdc-plugin/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 NAME = xdc
 SOURCES = xdc.cc
 include ../Makefile_plugin.common
diff --git a/xdc-plugin/tests/Makefile b/xdc-plugin/tests/Makefile
index 0f86e2f..363cbfe 100644
--- a/xdc-plugin/tests/Makefile
+++ b/xdc-plugin/tests/Makefile
@@ -1,3 +1,11 @@
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 # counter - basic test for IOSTANDARD, SLEW, DRIVE, IN_TERM properties
 # counter-dict - basic test using XDC -dict for IOSTANDARD, SLEW, DRIVE, IN_TERM properties
 # port_indexes - like counter but bus port indices are passes without curly braces
diff --git a/xdc-plugin/tests/compare_output_json.py b/xdc-plugin/tests/compare_output_json.py
index f48d966..12ff111 100644
--- a/xdc-plugin/tests/compare_output_json.py
+++ b/xdc-plugin/tests/compare_output_json.py
@@ -1,4 +1,14 @@
 #!/usr/bin/env python3
+# -*- coding: utf-8 -*-
+#
+# Copyright (C) 2020-2021  The SymbiFlow Authors.
+#
+# Use of this source code is governed by a ISC-style
+# license that can be found in the LICENSE file or at
+# https://opensource.org/licenses/ISC
+#
+# SPDX-License-Identifier:ISC
+
 """
 
 This script extracts the top module cells and their corresponding parameters
diff --git a/xdc-plugin/tests/counter-dict/counter-dict.v b/xdc-plugin/tests/counter-dict/counter-dict.v
index 2ec231b..1b1565f 100644
--- a/xdc-plugin/tests/counter-dict/counter-dict.v
+++ b/xdc-plugin/tests/counter-dict/counter-dict.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     output [3:0] led,
diff --git a/xdc-plugin/tests/counter/counter.v b/xdc-plugin/tests/counter/counter.v
index 2ec231b..1b1565f 100644
--- a/xdc-plugin/tests/counter/counter.v
+++ b/xdc-plugin/tests/counter/counter.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     output [3:0] led,
diff --git a/xdc-plugin/tests/io_loc_pairs/cells_xtra.v b/xdc-plugin/tests/io_loc_pairs/cells_xtra.v
index 68a6f87..503ed07 100644
--- a/xdc-plugin/tests/io_loc_pairs/cells_xtra.v
+++ b/xdc-plugin/tests/io_loc_pairs/cells_xtra.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module GTPE2_CHANNEL (
     (* iopad_external_pin *)
     output GTPTXN,
diff --git a/xdc-plugin/tests/io_loc_pairs/io_loc_pairs.v b/xdc-plugin/tests/io_loc_pairs/io_loc_pairs.v
index 9ece605..62fc7a9 100644
--- a/xdc-plugin/tests/io_loc_pairs/io_loc_pairs.v
+++ b/xdc-plugin/tests/io_loc_pairs/io_loc_pairs.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     output [3:0] led,
diff --git a/xdc-plugin/tests/minilitex_ddr_arty/VexRiscv_Lite.v b/xdc-plugin/tests/minilitex_ddr_arty/VexRiscv_Lite.v
new file mode 120000
index 0000000..ede3e75
--- /dev/null
+++ b/xdc-plugin/tests/minilitex_ddr_arty/VexRiscv_Lite.v
@@ -0,0 +1 @@
+../../../third_party/VexRiscv_Lite/VexRiscv_Lite.v
\ No newline at end of file
diff --git a/xdc-plugin/tests/minilitex_ddr_arty/minilitex_ddr_arty.v b/xdc-plugin/tests/minilitex_ddr_arty/minilitex_ddr_arty.v
new file mode 120000
index 0000000..22581dd
--- /dev/null
+++ b/xdc-plugin/tests/minilitex_ddr_arty/minilitex_ddr_arty.v
@@ -0,0 +1 @@
+../../../third_party/minilitex_ddr_arty/minilitex_ddr_arty.v
\ No newline at end of file
diff --git a/xdc-plugin/tests/package_pins/package_pins.v b/xdc-plugin/tests/package_pins/package_pins.v
index e5d2896..d4d172d 100644
--- a/xdc-plugin/tests/package_pins/package_pins.v
+++ b/xdc-plugin/tests/package_pins/package_pins.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     output [3:0] led,
diff --git a/xdc-plugin/tests/port_indexes/port_indexes.v b/xdc-plugin/tests/port_indexes/port_indexes.v
index 2ec231b..1b1565f 100644
--- a/xdc-plugin/tests/port_indexes/port_indexes.v
+++ b/xdc-plugin/tests/port_indexes/port_indexes.v
@@ -1,3 +1,11 @@
+// Copyright (C) 2020-2021  The SymbiFlow Authors.
+//
+// Use of this source code is governed by a ISC-style
+// license that can be found in the LICENSE file or at
+// https://opensource.org/licenses/ISC
+//
+// SPDX-License-Identifier:ISC
+
 module top (
     input clk,
     output [3:0] led,