Sign in
foss-fpga-tools
/
third_party
/
Surelog
/
356a4bf2123fc606ca19fbed9b9c535f149fdec5
/
.
/
SVIncCompil
/
Testcases
/
Icarus
/
vpi
/
putvalue.v
blob: b94d8d8476f00309e62d234f1d99a47c95be23f7 [
file
] [
log
] [
blame
]
/*
* This test verifies vpiPureTransportDelay functionality
*/
`timescale 1 ns / 1 ps
module test;
reg r;
initial begin
$monitor("<monitor> r = ", r);
r = 1'b0;
#100000 $finish;
end
always @(r) $display("<display> r = %b @ %0t", r, $time);
endmodule