blob: a985e328cef78e29c1e75b7102751967535d64fe [file] [log] [blame]
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN" "http://www.w3.org/TR/REC-html40/loose.dtd">
<html><head><title>Index</title><link rel="stylesheet" type="text/css" href="../styles/main.css"><script language=JavaScript src="../javascript/main.js"></script></head><body class="FramedIndexPage" onLoad="NDOnLoad()"><script language=JavaScript><!--
if (browserType) {document.write("<div class=" + browserType + ">");if (browserVer) {document.write("<div class=" + browserVer + ">"); }}// --></script>
<!-- Generated by Natural Docs, version Development Release 01-12-2008 (1.35 base) -->
<!-- http://www.naturaldocs.org -->
<!-- saved from url=(0026)http://www.naturaldocs.org -->
<div id=Index><div class=IPageTitle>Index</div><div class=INavigationBar><a href="General.html#Symbols">$#!</a> &middot; 0-9 &middot; <a href="General.html#A">A</a> &middot; <a href="General.html#B">B</a> &middot; <a href="General.html#C">C</a> &middot; <a href="General2.html#D">D</a> &middot; <a href="General2.html#E">E</a> &middot; <a href="General2.html#F">F</a> &middot; <a href="General3.html#G">G</a> &middot; <a href="General4.html#H">H</a> &middot; <a href="General4.html#I">I</a> &middot; <a href="General4.html#J">J</a> &middot; <a href="General4.html#K">K</a> &middot; <a href="General4.html#L">L</a> &middot; <a href="General4.html#M">M</a> &middot; <a href="General4.html#N">N</a> &middot; <a href="General4.html#O">O</a> &middot; <a href="General5.html#P">P</a> &middot; Q &middot; <a href="General5.html#R">R</a> &middot; <a href="#S">S</a> &middot; <a href="#T">T</a> &middot; <a href="General7.html#U">U</a> &middot; <a href="General8.html#V">V</a> &middot; <a href="General8.html#W">W</a> &middot; X &middot; Y &middot; Z</div><table border=0 cellspacing=0 cellpadding=0>
<tr><td class=IHeading id=IFirstHeading><a name="S"></a>S</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>sample</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.sample" id=link1264 onMouseOver="ShowTip(event, 'tt1257', 'link1264')" onMouseOut="HideTip('tt1257')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.sample" id=link1265 onMouseOver="ShowTip(event, 'tt1258', 'link1265')" onMouseOut="HideTip('tt1258')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.sample" id=link1266 onMouseOver="ShowTip(event, 'tt1259', 'link1266')" onMouseOut="HideTip('tt1259')" class=IParent>uvm_reg_block</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>sample_values</span><div class=ISubIndex><a href="../files/reg/uvm_reg-svh.html#uvm_reg.sample_values" id=link1267 onMouseOver="ShowTip(event, 'tt1260', 'link1267')" onMouseOut="HideTip('tt1260')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.sample_values" id=link1268 onMouseOver="ShowTip(event, 'tt1261', 'link1268')" onMouseOut="HideTip('tt1261')" class=IParent>uvm_reg_block</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Schedule</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase.Schedule" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Scope Interface</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.Scope_Interface" id=link1269 onMouseOver="ShowTip(event, 'tt1262', 'link1269')" onMouseOut="HideTip('tt1262')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Seeding</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.Seeding" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>send_request</span><div class=ISubIndex><a href="../files/seq/uvm_sequence-svh.html#uvm_sequence#(REQ,RSP).send_request" id=link1270 onMouseOver="ShowTip(event, 'tt1263', 'link1270')" onMouseOut="HideTip('tt1263')" class=IParent>uvm_sequence#(REQ,RSP)</a><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.send_request" id=link1271 onMouseOver="ShowTip(event, 'tt1264', 'link1271')" onMouseOut="HideTip('tt1264')" class=IParent>uvm_sequence_base</a><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.send_request" id=link1272 onMouseOver="ShowTip(event, 'tt1265', 'link1272')" onMouseOut="HideTip('tt1265')" class=IParent>uvm_sequencer_base</a><a href="../files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP).send_request" id=link1273 onMouseOver="ShowTip(event, 'tt1266', 'link1273')" onMouseOut="HideTip('tt1266')" class=IParent>uvm_sequencer_param_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>separator</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.separator" id=link1274 onMouseOver="ShowTip(event, 'tt1267', 'link1274')" onMouseOut="HideTip('tt1267')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#SEQ_ARB_FIFO" id=link1275 onMouseOver="ShowTip(event, 'tt1268', 'link1275')" onMouseOut="HideTip('tt1268')" class=ISymbol>SEQ_ARB_FIFO</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#SEQ_ARB_RANDOM" id=link1276 onMouseOver="ShowTip(event, 'tt1269', 'link1276')" onMouseOut="HideTip('tt1269')" class=ISymbol>SEQ_ARB_RANDOM</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#SEQ_ARB_STRICT_FIFO" id=link1277 onMouseOver="ShowTip(event, 'tt1270', 'link1277')" onMouseOut="HideTip('tt1270')" class=ISymbol>SEQ_ARB_STRICT_FIFO</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#SEQ_ARB_STRICT_RANDOM" id=link1278 onMouseOver="ShowTip(event, 'tt1271', 'link1278')" onMouseOut="HideTip('tt1271')" class=ISymbol>SEQ_ARB_STRICT_RANDOM</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#SEQ_ARB_USER" id=link1279 onMouseOver="ShowTip(event, 'tt1272', 'link1279')" onMouseOut="HideTip('tt1272')" class=ISymbol>SEQ_ARB_USER</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#SEQ_ARB_WEIGHTED" id=link1280 onMouseOver="ShowTip(event, 'tt1273', 'link1280')" onMouseOut="HideTip('tt1273')" class=ISymbol>SEQ_ARB_WEIGHTED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>seq_item_export</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer-svh.html#uvm_sequencer#(REQ,RSP).seq_item_export" id=link1281 onMouseOver="ShowTip(event, 'tt1274', 'link1281')" onMouseOut="HideTip('tt1274')" class=IParent>uvm_sequencer#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>seq_item_port</span><div class=ISubIndex><a href="../files/comps/uvm_driver-svh.html#uvm_driver#(REQ,RSP).seq_item_port" id=link1282 onMouseOver="ShowTip(event, 'tt1275', 'link1282')" onMouseOut="HideTip('tt1275')" class=IParent>uvm_driver#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_sequence_defines-svh.html#Sequence_Action_Macros" id=link1283 onMouseOver="ShowTip(event, 'tt1276', 'link1283')" onMouseOut="HideTip('tt1276')" class=ISymbol>Sequence Action Macros</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_sequence_defines-svh.html#Sequence_Action_Macros_for_Pre-Existing_Sequences" id=link1284 onMouseOver="ShowTip(event, 'tt1277', 'link1284')" onMouseOut="HideTip('tt1277')" class=ISymbol>Sequence Action Macros for Pre-Existing Sequences</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/sequences-txt.html#Sequence_Classes" id=link1285 onMouseOver="ShowTip(event, 'tt1278', 'link1285')" onMouseOut="HideTip('tt1278')" class=ISymbol>Sequence Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Sequence Control</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.Sequence_Control" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Sequence Execution</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.Sequence_Execution" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Sequence Item Execution</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.Sequence_Item_Execution" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_sqr_connections-svh.html#Sequence_Item_Pull_Ports" id=link1286 onMouseOver="ShowTip(event, 'tt1279', 'link1286')" onMouseOut="HideTip('tt1279')" class=ISymbol>Sequence Item Pull Ports</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_sequence_defines-svh.html#Sequence_on_Sequencer_Action_Macros" id=link1287 onMouseOver="ShowTip(event, 'tt1280', 'link1287')" onMouseOut="HideTip('tt1280')" class=ISymbol>Sequence on Sequencer Action Macros</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_sequence_defines-svh.html#Sequence-Related_Macros" class=ISymbol>Sequence-Related Macros</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>sequencer</span><div class=ISubIndex><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_frontdoor.sequencer" id=link1288 onMouseOver="ShowTip(event, 'tt1281', 'link1288')" onMouseOut="HideTip('tt1281')" class=IParent>uvm_reg_frontdoor</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/sequencers-txt.html#Sequencer_Classes" id=link1289 onMouseOver="ShowTip(event, 'tt1282', 'link1289')" onMouseOut="HideTip('tt1282')" class=ISymbol>Sequencer Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/tlm_ifs_and_ports-txt.html#Sequencer_Port" id=link1290 onMouseOver="ShowTip(event, 'tt1283', 'link1290')" onMouseOut="HideTip('tt1283')" class=ISymbol>Sequencer Port</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_sequence_defines-svh.html#Sequencer_Subtypes" class=ISymbol>Sequencer Subtypes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#Sequences" class=ISymbol>Sequences</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set</span><div class=ISubIndex><a href="../files/base/uvm_config_db-svh.html#uvm_config_db.set" id=link1291 onMouseOver="ShowTip(event, 'tt1284', 'link1291')" onMouseOut="HideTip('tt1284')" class=IParent>uvm_config_db</a><a href="../files/reg/uvm_reg_model-svh.html#uvm_hdl_path_concat.set" id=link1292 onMouseOver="ShowTip(event, 'tt1285', 'link1292')" onMouseOut="HideTip('tt1285')" class=IParent>uvm_hdl_path_concat</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.set" id=link1293 onMouseOver="ShowTip(event, 'tt1286', 'link1293')" onMouseOut="HideTip('tt1286')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.set" id=link1294 onMouseOver="ShowTip(event, 'tt1287', 'link1294')" onMouseOut="HideTip('tt1287')" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.set" id=link1295 onMouseOver="ShowTip(event, 'tt1288', 'link1295')" onMouseOut="HideTip('tt1288')" class=IParent>uvm_reg_fifo</a><a href="../files/base/uvm_resource-svh.html#uvm_resource#(T).set" id=link1296 onMouseOver="ShowTip(event, 'tt1289', 'link1296')" onMouseOut="HideTip('tt1289')" class=IParent>uvm_resource#(T)</a><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db.set" id=link1297 onMouseOver="ShowTip(event, 'tt1290', 'link1297')" onMouseOut="HideTip('tt1290')" class=IParent>uvm_resource_db</a><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.set" id=link1298 onMouseOver="ShowTip(event, 'tt1291', 'link1298')" onMouseOut="HideTip('tt1291')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Set</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.Set" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set priority</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource#(T).set_priority" id=link1299 onMouseOver="ShowTip(event, 'tt1292', 'link1299')" onMouseOut="HideTip('tt1292')" class=IParent>uvm_resource#(T)</a><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.set_priority" id=link1300 onMouseOver="ShowTip(event, 'tt1293', 'link1300')" onMouseOut="HideTip('tt1293')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Set Priority</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.Set_Priority" id=link1301 onMouseOver="ShowTip(event, 'tt1294', 'link1301')" onMouseOut="HideTip('tt1294')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Set/<span class=HB> </span>Get Interface</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource#(T).Set/Get_Interface" id=link1302 onMouseOver="ShowTip(event, 'tt1295', 'link1302')" onMouseOut="HideTip('tt1295')" class=IParent>uvm_resource#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_abstime</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_time-svh.html#uvm_tlm_time.set_abstime" id=link1303 onMouseOver="ShowTip(event, 'tt1296', 'link1303')" onMouseOut="HideTip('tt1296')" class=IParent>uvm_tlm_time</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_access</span><div class=ISubIndex><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.set_access" id=link1304 onMouseOver="ShowTip(event, 'tt1297', 'link1304')" onMouseOut="HideTip('tt1297')" class=IParent>uvm_reg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_action</span><div class=ISubIndex><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.set_action" id=link1305 onMouseOver="ShowTip(event, 'tt1298', 'link1305')" onMouseOut="HideTip('tt1298')" class=IParent>uvm_report_catcher</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_address</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_address" id=link1306 onMouseOver="ShowTip(event, 'tt1299', 'link1306')" onMouseOut="HideTip('tt1299')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_anonymous</span><div class=ISubIndex><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db.set_anonymous" id=link1307 onMouseOver="ShowTip(event, 'tt1300', 'link1307')" onMouseOut="HideTip('tt1300')" class=IParent>uvm_resource_db</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_arbitration</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.set_arbitration" id=link1308 onMouseOver="ShowTip(event, 'tt1301', 'link1308')" onMouseOut="HideTip('tt1301')" class=IParent>uvm_sequencer_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_auto_predict</span><div class=ISubIndex><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_map.set_auto_predict" id=link1309 onMouseOver="ShowTip(event, 'tt1302', 'link1309')" onMouseOut="HideTip('tt1302')" class=IParent>uvm_reg_map</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_auto_reset</span><div class=ISubIndex><a href="../files/base/uvm_barrier-svh.html#uvm_barrier.set_auto_reset" id=link1310 onMouseOver="ShowTip(event, 'tt1303', 'link1310')" onMouseOut="HideTip('tt1303')" class=IParent>uvm_barrier</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_backdoor</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.set_backdoor" id=link1311 onMouseOver="ShowTip(event, 'tt1304', 'link1311')" onMouseOut="HideTip('tt1304')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.set_backdoor" id=link1312 onMouseOver="ShowTip(event, 'tt1305', 'link1312')" onMouseOut="HideTip('tt1305')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.set_backdoor" id=link1313 onMouseOver="ShowTip(event, 'tt1306', 'link1313')" onMouseOut="HideTip('tt1306')" class=IParent>uvm_reg_block</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_base_addr</span><div class=ISubIndex><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_map.set_base_addr" id=link1314 onMouseOver="ShowTip(event, 'tt1307', 'link1314')" onMouseOut="HideTip('tt1307')" class=IParent>uvm_reg_map</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_byte_enable</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_byte_enable" id=link1315 onMouseOver="ShowTip(event, 'tt1308', 'link1315')" onMouseOut="HideTip('tt1308')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_byte_enable_length</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_byte_enable_length" id=link1316 onMouseOver="ShowTip(event, 'tt1309', 'link1316')" onMouseOut="HideTip('tt1309')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_check_on_read</span><div class=ISubIndex><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_map.set_check_on_read" id=link1317 onMouseOver="ShowTip(event, 'tt1310', 'link1317')" onMouseOut="HideTip('tt1310')" class=IParent>uvm_reg_map</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_command</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_command" id=link1318 onMouseOver="ShowTip(event, 'tt1311', 'link1318')" onMouseOut="HideTip('tt1311')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_compare</span><div class=ISubIndex><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.set_compare" id=link1319 onMouseOver="ShowTip(event, 'tt1312', 'link1319')" onMouseOut="HideTip('tt1312')" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.set_compare" id=link1320 onMouseOver="ShowTip(event, 'tt1313', 'link1320')" onMouseOut="HideTip('tt1313')" class=IParent>uvm_reg_fifo</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_config_int</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#set_config_int" id=link1321 onMouseOver="ShowTip(event, 'tt1314', 'link1321')" onMouseOut="HideTip('tt1314')" class=IParent>Global</a><a href="../files/base/uvm_component-svh.html#uvm_component.set_config_int" id=link1322 onMouseOver="ShowTip(event, 'tt1315', 'link1322')" onMouseOut="HideTip('tt1315')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_config_object</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#set_config_object" id=link1323 onMouseOver="ShowTip(event, 'tt1316', 'link1323')" onMouseOut="HideTip('tt1316')" class=IParent>Global</a><a href="../files/base/uvm_component-svh.html#uvm_component.set_config_object" id=link1324 onMouseOver="ShowTip(event, 'tt1317', 'link1324')" onMouseOut="HideTip('tt1317')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_config_string</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#set_config_string" id=link1325 onMouseOver="ShowTip(event, 'tt1318', 'link1325')" onMouseOut="HideTip('tt1318')" class=IParent>Global</a><a href="../files/base/uvm_component-svh.html#uvm_component.set_config_string" id=link1326 onMouseOver="ShowTip(event, 'tt1319', 'link1326')" onMouseOut="HideTip('tt1319')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_coverage</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.set_coverage" id=link1327 onMouseOver="ShowTip(event, 'tt1320', 'link1327')" onMouseOut="HideTip('tt1320')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.set_coverage" id=link1328 onMouseOver="ShowTip(event, 'tt1321', 'link1328')" onMouseOut="HideTip('tt1321')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.set_coverage" id=link1329 onMouseOver="ShowTip(event, 'tt1322', 'link1329')" onMouseOut="HideTip('tt1322')" class=IParent>uvm_reg_block</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_data</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_data" id=link1330 onMouseOver="ShowTip(event, 'tt1323', 'link1330')" onMouseOut="HideTip('tt1323')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_data_length</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_data_length" id=link1331 onMouseOver="ShowTip(event, 'tt1324', 'link1331')" onMouseOut="HideTip('tt1324')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_default</span><div class=ISubIndex><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db.set_default" id=link1332 onMouseOver="ShowTip(event, 'tt1325', 'link1332')" onMouseOut="HideTip('tt1325')" class=IParent>uvm_resource_db</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_default_hdl_path</span><div class=ISubIndex><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.set_default_hdl_path" id=link1333 onMouseOver="ShowTip(event, 'tt1326', 'link1333')" onMouseOut="HideTip('tt1326')" class=IParent>uvm_reg_block</a><a href="../files/reg/uvm_reg_file-svh.html#uvm_reg_file.set_default_hdl_path" id=link1334 onMouseOver="ShowTip(event, 'tt1327', 'link1334')" onMouseOut="HideTip('tt1327')" class=IParent>uvm_reg_file</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_default_index</span><div class=ISubIndex><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF).set_default_index" id=link1335 onMouseOver="ShowTip(event, 'tt1328', 'link1335')" onMouseOut="HideTip('tt1328')" class=IParent>uvm_port_base#(IF)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_default_map</span><div class=ISubIndex><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.set_default_map" id=link1336 onMouseOver="ShowTip(event, 'tt1329', 'link1336')" onMouseOut="HideTip('tt1329')" class=IParent>uvm_reg_block</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_depth</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.set_depth" id=link1337 onMouseOver="ShowTip(event, 'tt1330', 'link1337')" onMouseOut="HideTip('tt1330')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_dmi_allowed</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_dmi_allowed" id=link1338 onMouseOver="ShowTip(event, 'tt1331', 'link1338')" onMouseOut="HideTip('tt1331')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_domain</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_domain" id=link1339 onMouseOver="ShowTip(event, 'tt1332', 'link1339')" onMouseOut="HideTip('tt1332')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_drain_time</span><div class=ISubIndex><a href="../files/base/uvm_objection-svh.html#uvm_objection.set_drain_time" id=link1340 onMouseOver="ShowTip(event, 'tt1333', 'link1340')" onMouseOut="HideTip('tt1333')" class=IParent>uvm_objection</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_extension</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_extension" id=link1341 onMouseOver="ShowTip(event, 'tt1334', 'link1341')" onMouseOut="HideTip('tt1334')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_frontdoor</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.set_frontdoor" id=link1342 onMouseOver="ShowTip(event, 'tt1335', 'link1342')" onMouseOut="HideTip('tt1335')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.set_frontdoor" id=link1343 onMouseOver="ShowTip(event, 'tt1336', 'link1343')" onMouseOut="HideTip('tt1336')" class=IParent>uvm_reg</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_hdl_path_root</span><div class=ISubIndex><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.set_hdl_path_root" id=link1344 onMouseOver="ShowTip(event, 'tt1337', 'link1344')" onMouseOut="HideTip('tt1337')" class=IParent>uvm_reg_block</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_heartbeat</span><div class=ISubIndex><a href="../files/base/uvm_heartbeat-svh.html#uvm_heartbeat.set_heartbeat" id=link1345 onMouseOver="ShowTip(event, 'tt1338', 'link1345')" onMouseOut="HideTip('tt1338')" class=IParent>uvm_heartbeat</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_id</span><div class=ISubIndex><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.set_id" id=link1346 onMouseOver="ShowTip(event, 'tt1339', 'link1346')" onMouseOut="HideTip('tt1339')" class=IParent>uvm_report_catcher</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_id_count</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_report_server.set_id_count" id=link1347 onMouseOver="ShowTip(event, 'tt1340', 'link1347')" onMouseOut="HideTip('tt1340')" class=IParent>uvm_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_id_info</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.set_id_info" id=link1348 onMouseOver="ShowTip(event, 'tt1341', 'link1348')" onMouseOut="HideTip('tt1341')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_initiator</span><div class=ISubIndex><a href="../files/base/uvm_transaction-svh.html#uvm_transaction.set_initiator" id=link1349 onMouseOver="ShowTip(event, 'tt1342', 'link1349')" onMouseOut="HideTip('tt1342')" class=IParent>uvm_transaction</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_inst_override</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_inst_override" id=link1350 onMouseOver="ShowTip(event, 'tt1343', 'link1350')" onMouseOut="HideTip('tt1343')" class=IParent>uvm_component</a><a href="../files/base/uvm_registry-svh.html#uvm_component_registry#(T,Tname).set_inst_override" id=link1351 onMouseOver="ShowTip(event, 'tt1344', 'link1351')" onMouseOut="HideTip('tt1344')" class=IParent>uvm_component_registry#(T,Tname)</a><a href="../files/base/uvm_registry-svh.html#uvm_object_registry#(T,Tname).set_inst_override" id=link1352 onMouseOver="ShowTip(event, 'tt1345', 'link1352')" onMouseOut="HideTip('tt1345')" class=IParent>uvm_object_registry#(T,Tname)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_inst_override_by_name</span><div class=ISubIndex><a href="../files/base/uvm_factory-svh.html#uvm_factory.set_inst_override_by_name" id=link1353 onMouseOver="ShowTip(event, 'tt1346', 'link1353')" onMouseOut="HideTip('tt1346')" class=IParent>uvm_factory</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_inst_override_by_type</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_inst_override_by_type" id=link1354 onMouseOver="ShowTip(event, 'tt1347', 'link1354')" onMouseOut="HideTip('tt1347')" class=IParent>uvm_component</a><a href="../files/base/uvm_factory-svh.html#uvm_factory.set_inst_override_by_type" id=link1355 onMouseOver="ShowTip(event, 'tt1348', 'link1355')" onMouseOut="HideTip('tt1348')" class=IParent>uvm_factory</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_int_local</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.set_int_local" id=link1356 onMouseOver="ShowTip(event, 'tt1349', 'link1356')" onMouseOut="HideTip('tt1349')" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_item_context</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.set_item_context" id=link1357 onMouseOver="ShowTip(event, 'tt1350', 'link1357')" onMouseOut="HideTip('tt1350')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_max_quit_count</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_report_server.set_max_quit_count" id=link1358 onMouseOver="ShowTip(event, 'tt1351', 'link1358')" onMouseOut="HideTip('tt1351')" class=IParent>uvm_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_message</span><div class=ISubIndex><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.set_message" id=link1359 onMouseOver="ShowTip(event, 'tt1352', 'link1359')" onMouseOut="HideTip('tt1352')" class=IParent>uvm_report_catcher</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_mode</span><div class=ISubIndex><a href="../files/base/uvm_heartbeat-svh.html#uvm_heartbeat.set_mode" id=link1360 onMouseOver="ShowTip(event, 'tt1353', 'link1360')" onMouseOut="HideTip('tt1353')" class=IParent>uvm_heartbeat</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_name</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.set_name" id=link1361 onMouseOver="ShowTip(event, 'tt1354', 'link1361')" onMouseOut="HideTip('tt1354')" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_name_override</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.set_name_override" id=link1362 onMouseOver="ShowTip(event, 'tt1355', 'link1362')" onMouseOut="HideTip('tt1355')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_num_last_reqs</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP).set_num_last_reqs" id=link1363 onMouseOver="ShowTip(event, 'tt1356', 'link1363')" onMouseOut="HideTip('tt1356')" class=IParent>uvm_sequencer_param_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_num_last_rsps</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP).set_num_last_rsps" id=link1364 onMouseOver="ShowTip(event, 'tt1357', 'link1364')" onMouseOut="HideTip('tt1357')" class=IParent>uvm_sequencer_param_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_object_local</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.set_object_local" id=link1365 onMouseOver="ShowTip(event, 'tt1358', 'link1365')" onMouseOut="HideTip('tt1358')" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_offset</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.set_offset" id=link1366 onMouseOver="ShowTip(event, 'tt1359', 'link1366')" onMouseOut="HideTip('tt1359')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.set_offset" id=link1367 onMouseOver="ShowTip(event, 'tt1360', 'link1367')" onMouseOut="HideTip('tt1360')" class=IParent>uvm_reg</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_override</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource#(T).set_override" id=link1368 onMouseOver="ShowTip(event, 'tt1361', 'link1368')" onMouseOut="HideTip('tt1361')" class=IParent>uvm_resource#(T)</a><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.set_override" id=link1369 onMouseOver="ShowTip(event, 'tt1362', 'link1369')" onMouseOut="HideTip('tt1362')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_parent_sequence</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.set_parent_sequence" id=link1370 onMouseOver="ShowTip(event, 'tt1363', 'link1370')" onMouseOut="HideTip('tt1363')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_phase_imp</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_phase_imp" id=link1371 onMouseOver="ShowTip(event, 'tt1364', 'link1371')" onMouseOut="HideTip('tt1364')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_priority</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.set_priority" id=link1372 onMouseOver="ShowTip(event, 'tt1365', 'link1372')" onMouseOut="HideTip('tt1365')" class=IParent>uvm_resource_pool</a><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.set_priority" id=link1373 onMouseOver="ShowTip(event, 'tt1366', 'link1373')" onMouseOut="HideTip('tt1366')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_priority_name</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.set_priority_name" id=link1374 onMouseOver="ShowTip(event, 'tt1367', 'link1374')" onMouseOut="HideTip('tt1367')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_priority_type</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.set_priority_type" id=link1375 onMouseOver="ShowTip(event, 'tt1368', 'link1375')" onMouseOut="HideTip('tt1368')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_quit_count</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_report_server.set_quit_count" id=link1376 onMouseOver="ShowTip(event, 'tt1369', 'link1376')" onMouseOut="HideTip('tt1369')" class=IParent>uvm_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_read</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_read" id=link1377 onMouseOver="ShowTip(event, 'tt1370', 'link1377')" onMouseOut="HideTip('tt1370')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_read_only</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.set_read_only" id=link1378 onMouseOver="ShowTip(event, 'tt1371', 'link1378')" onMouseOut="HideTip('tt1371')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_default_file</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_default_file" id=link1379 onMouseOver="ShowTip(event, 'tt1372', 'link1379')" onMouseOut="HideTip('tt1372')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_default_file_hier</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_report_default_file_hier" id=link1380 onMouseOver="ShowTip(event, 'tt1373', 'link1380')" onMouseOut="HideTip('tt1373')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_handler</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_handler" id=link1381 onMouseOver="ShowTip(event, 'tt1374', 'link1381')" onMouseOut="HideTip('tt1374')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_id_action</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_id_action" id=link1382 onMouseOver="ShowTip(event, 'tt1375', 'link1382')" onMouseOut="HideTip('tt1375')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_id_action_hier</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_report_id_action_hier" id=link1383 onMouseOver="ShowTip(event, 'tt1376', 'link1383')" onMouseOut="HideTip('tt1376')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_id_file</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_id_file" id=link1384 onMouseOver="ShowTip(event, 'tt1377', 'link1384')" onMouseOut="HideTip('tt1377')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_id_file_hier</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_report_id_file_hier" id=link1385 onMouseOver="ShowTip(event, 'tt1378', 'link1385')" onMouseOut="HideTip('tt1378')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_id_verbosity</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_id_verbosity" id=link1386 onMouseOver="ShowTip(event, 'tt1379', 'link1386')" onMouseOut="HideTip('tt1379')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_id_verbosity_hier</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_report_id_verbosity_hier" id=link1387 onMouseOver="ShowTip(event, 'tt1380', 'link1387')" onMouseOut="HideTip('tt1380')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_max_quit_count</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_max_quit_count" id=link1388 onMouseOver="ShowTip(event, 'tt1381', 'link1388')" onMouseOut="HideTip('tt1381')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_action</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_severity_action" id=link1389 onMouseOver="ShowTip(event, 'tt1382', 'link1389')" onMouseOut="HideTip('tt1382')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_action_hier</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_report_severity_action_hier" id=link1390 onMouseOver="ShowTip(event, 'tt1383', 'link1390')" onMouseOut="HideTip('tt1383')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_file</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_severity_file" id=link1391 onMouseOver="ShowTip(event, 'tt1384', 'link1391')" onMouseOut="HideTip('tt1384')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_file_hier</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_report_severity_file_hier" id=link1392 onMouseOver="ShowTip(event, 'tt1385', 'link1392')" onMouseOut="HideTip('tt1385')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_id_action</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_severity_id_action" id=link1393 onMouseOver="ShowTip(event, 'tt1386', 'link1393')" onMouseOut="HideTip('tt1386')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_id_action_hier</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_report_severity_id_action_hier" id=link1394 onMouseOver="ShowTip(event, 'tt1387', 'link1394')" onMouseOut="HideTip('tt1387')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_id_file</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_severity_id_file" id=link1395 onMouseOver="ShowTip(event, 'tt1388', 'link1395')" onMouseOut="HideTip('tt1388')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_id_file_hier</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_report_severity_id_file_hier" id=link1396 onMouseOver="ShowTip(event, 'tt1389', 'link1396')" onMouseOut="HideTip('tt1389')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_id_override</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_severity_id_override" id=link1397 onMouseOver="ShowTip(event, 'tt1390', 'link1397')" onMouseOut="HideTip('tt1390')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_id_verbosity</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_severity_id_verbosity" id=link1398 onMouseOver="ShowTip(event, 'tt1391', 'link1398')" onMouseOut="HideTip('tt1391')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_id_verbosity_hier</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_report_severity_id_verbosity_hier" id=link1399 onMouseOver="ShowTip(event, 'tt1392', 'link1399')" onMouseOut="HideTip('tt1392')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_severity_override</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_severity_override" id=link1400 onMouseOver="ShowTip(event, 'tt1393', 'link1400')" onMouseOut="HideTip('tt1393')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_verbosity_level</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.set_report_verbosity_level" id=link1401 onMouseOver="ShowTip(event, 'tt1394', 'link1401')" onMouseOut="HideTip('tt1394')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_report_verbosity_level_hier</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_report_verbosity_level_hier" id=link1402 onMouseOver="ShowTip(event, 'tt1395', 'link1402')" onMouseOut="HideTip('tt1395')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_reset</span><div class=ISubIndex><a href="../files/reg/uvm_reg-svh.html#uvm_reg.set_reset" id=link1403 onMouseOver="ShowTip(event, 'tt1396', 'link1403')" onMouseOut="HideTip('tt1396')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.set_reset" id=link1404 onMouseOver="ShowTip(event, 'tt1397', 'link1404')" onMouseOut="HideTip('tt1397')" class=IParent>uvm_reg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_response_queue_depth</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.set_response_queue_depth" id=link1405 onMouseOver="ShowTip(event, 'tt1398', 'link1405')" onMouseOut="HideTip('tt1398')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_response_queue_error_report_disabled</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.set_response_queue_error_report_disabled" id=link1406 onMouseOver="ShowTip(event, 'tt1399', 'link1406')" onMouseOut="HideTip('tt1399')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_response_status</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_response_status" id=link1407 onMouseOver="ShowTip(event, 'tt1400', 'link1407')" onMouseOut="HideTip('tt1400')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_scope</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.set_scope" id=link1408 onMouseOver="ShowTip(event, 'tt1401', 'link1408')" onMouseOut="HideTip('tt1401')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_sequencer</span><div class=ISubIndex><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_map.set_sequencer" id=link1409 onMouseOver="ShowTip(event, 'tt1402', 'link1409')" onMouseOut="HideTip('tt1402')" class=IParent>uvm_reg_map</a><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.set_sequencer" id=link1410 onMouseOver="ShowTip(event, 'tt1403', 'link1410')" onMouseOut="HideTip('tt1403')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_server</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_report_server.set_server" id=link1411 onMouseOver="ShowTip(event, 'tt1404', 'link1411')" onMouseOut="HideTip('tt1404')" class=IParent>uvm_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_severity</span><div class=ISubIndex><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.set_severity" id=link1412 onMouseOver="ShowTip(event, 'tt1405', 'link1412')" onMouseOut="HideTip('tt1405')" class=IParent>uvm_report_catcher</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_severity_count</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_report_server.set_severity_count" id=link1413 onMouseOver="ShowTip(event, 'tt1406', 'link1413')" onMouseOut="HideTip('tt1406')" class=IParent>uvm_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_streaming_width</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_streaming_width" id=link1414 onMouseOver="ShowTip(event, 'tt1407', 'link1414')" onMouseOut="HideTip('tt1407')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_string_local</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.set_string_local" id=link1415 onMouseOver="ShowTip(event, 'tt1408', 'link1415')" onMouseOut="HideTip('tt1408')" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_submap_offset</span><div class=ISubIndex><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_map.set_submap_offset" id=link1416 onMouseOver="ShowTip(event, 'tt1409', 'link1416')" onMouseOut="HideTip('tt1409')" class=IParent>uvm_reg_map</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_threshold</span><div class=ISubIndex><a href="../files/base/uvm_barrier-svh.html#uvm_barrier.set_threshold" id=link1417 onMouseOver="ShowTip(event, 'tt1410', 'link1417')" onMouseOut="HideTip('tt1410')" class=IParent>uvm_barrier</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_time_resolution</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_time-svh.html#uvm_tlm_time.set_time_resolution" id=link1418 onMouseOver="ShowTip(event, 'tt1411', 'link1418')" onMouseOut="HideTip('tt1411')" class=IParent>uvm_tlm_time</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_timeout</span><div class=ISubIndex><a href="../files/base/uvm_root-svh.html#uvm_root.set_timeout" id=link1419 onMouseOver="ShowTip(event, 'tt1412', 'link1419')" onMouseOut="HideTip('tt1412')" class=IParent>uvm_root</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_transaction_id</span><div class=ISubIndex><a href="../files/base/uvm_transaction-svh.html#uvm_transaction.set_transaction_id" id=link1420 onMouseOver="ShowTip(event, 'tt1413', 'link1420')" onMouseOut="HideTip('tt1413')" class=IParent>uvm_transaction</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_type_override</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_type_override" id=link1421 onMouseOver="ShowTip(event, 'tt1414', 'link1421')" onMouseOut="HideTip('tt1414')" class=IParent>uvm_component</a><a href="../files/base/uvm_registry-svh.html#uvm_component_registry#(T,Tname).set_type_override" id=link1422 onMouseOver="ShowTip(event, 'tt1415', 'link1422')" onMouseOut="HideTip('tt1415')" class=IParent>uvm_component_registry#(T,Tname)</a><a href="../files/base/uvm_registry-svh.html#uvm_object_registry#(T,Tname).set_type_override" id=link1423 onMouseOver="ShowTip(event, 'tt1416', 'link1423')" onMouseOut="HideTip('tt1416')" class=IParent>uvm_object_registry#(T,Tname)</a><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.set_type_override" id=link1424 onMouseOver="ShowTip(event, 'tt1417', 'link1424')" onMouseOut="HideTip('tt1417')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_type_override_by_name</span><div class=ISubIndex><a href="../files/base/uvm_factory-svh.html#uvm_factory.set_type_override_by_name" id=link1425 onMouseOver="ShowTip(event, 'tt1418', 'link1425')" onMouseOut="HideTip('tt1418')" class=IParent>uvm_factory</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_type_override_by_type</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.set_type_override_by_type" id=link1426 onMouseOver="ShowTip(event, 'tt1419', 'link1426')" onMouseOut="HideTip('tt1419')" class=IParent>uvm_component</a><a href="../files/base/uvm_factory-svh.html#uvm_factory.set_type_override_by_type" id=link1427 onMouseOver="ShowTip(event, 'tt1420', 'link1427')" onMouseOut="HideTip('tt1420')" class=IParent>uvm_factory</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_use_sequence_info</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.set_use_sequence_info" id=link1428 onMouseOver="ShowTip(event, 'tt1421', 'link1428')" onMouseOut="HideTip('tt1421')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_verbosity</span><div class=ISubIndex><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.set_verbosity" id=link1429 onMouseOver="ShowTip(event, 'tt1422', 'link1429')" onMouseOut="HideTip('tt1422')" class=IParent>uvm_report_catcher</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_volatility</span><div class=ISubIndex><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.set_volatility" id=link1430 onMouseOver="ShowTip(event, 'tt1423', 'link1430')" onMouseOut="HideTip('tt1423')" class=IParent>uvm_reg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>set_write</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.set_write" id=link1431 onMouseOver="ShowTip(event, 'tt1424', 'link1431')" onMouseOut="HideTip('tt1424')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Setup</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.Setup" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>sev</span><div class=ISubIndex><a href="../files/base/uvm_comparer-svh.html#uvm_comparer.sev" id=link1432 onMouseOver="ShowTip(event, 'tt1425', 'link1432')" onMouseOut="HideTip('tt1425')" class=IParent>uvm_comparer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#Shared_Register_and_Memory_Access_Test_Sequences" id=link1433 onMouseOver="ShowTip(event, 'tt1426', 'link1433')" onMouseOut="HideTip('tt1426')" class=ISymbol>Shared Register and Memory Access Test Sequences</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Should</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.the_following_is_VERY_expensive.Needs_refactoring.Should" id=link1434 onMouseOver="ShowTip(event, 'tt1427', 'link1434')" onMouseOut="HideTip('tt1427')" class=IParent>uvm_component::<span class=HB> </span>the following is VERY expensive::<span class=HB> </span>Needs refactoring</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>show_max</span><div class=ISubIndex><a href="../files/base/uvm_comparer-svh.html#uvm_comparer.show_max" id=link1435 onMouseOver="ShowTip(event, 'tt1428', 'link1435')" onMouseOut="HideTip('tt1428')" class=IParent>uvm_comparer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>show_radix</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.show_radix" id=link1436 onMouseOver="ShowTip(event, 'tt1429', 'link1436')" onMouseOut="HideTip('tt1429')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>show_root</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.show_root" id=link1437 onMouseOver="ShowTip(event, 'tt1430', 'link1437')" onMouseOut="HideTip('tt1430')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>shutdown_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.shutdown_phase" id=link1438 onMouseOver="ShowTip(event, 'tt1431', 'link1438')" onMouseOut="HideTip('tt1431')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_globals-svh.html#Simulation_Control" class=ISymbol>Simulation Control</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Singleton</span><div class=ISubIndex><a href="../files/base/uvm_cmdline_processor-svh.html#uvm_cmdline_processor.Singleton" class=IParent>uvm_cmdline_processor</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>size</span><div class=ISubIndex><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF).size" id=link1439 onMouseOver="ShowTip(event, 'tt1432', 'link1439')" onMouseOut="HideTip('tt1432')" class=IParent>uvm_port_base#(IF)</a><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.size" id=link1440 onMouseOver="ShowTip(event, 'tt1433', 'link1440')" onMouseOut="HideTip('tt1433')" class=IParent>uvm_printer_knobs</a><a href="../files/base/uvm_queue-svh.html#uvm_queue#(T).size" id=link1441 onMouseOver="ShowTip(event, 'tt1434', 'link1441')" onMouseOut="HideTip('tt1434')" class=IParent>uvm_queue#(T)</a><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.size" id=link1442 onMouseOver="ShowTip(event, 'tt1435', 'link1442')" onMouseOut="HideTip('tt1435')" class=IParent>uvm_reg_fifo</a><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_fifo.size" id=link1443 onMouseOver="ShowTip(event, 'tt1436', 'link1443')" onMouseOut="HideTip('tt1436')" class=IParent>uvm_tlm_fifo</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>slave_export</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_req_rsp_channel#(REQ,RSP).slave_export" id=link1444 onMouseOver="ShowTip(event, 'tt1437', 'link1444')" onMouseOut="HideTip('tt1437')" class=IParent>uvm_tlm_req_rsp_channel#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>slices</span><div class=ISubIndex><a href="../files/reg/uvm_reg_model-svh.html#uvm_hdl_path_concat.slices" id=link1445 onMouseOver="ShowTip(event, 'tt1438', 'link1445')" onMouseOut="HideTip('tt1438')" class=IParent>uvm_hdl_path_concat</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>sort_by_precedence</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.sort_by_precedence" id=link1446 onMouseOver="ShowTip(event, 'tt1439', 'link1446')" onMouseOut="HideTip('tt1439')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Special Overrides</span><div class=ISubIndex><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.Special_Overrides" class=IParent>uvm_reg_fifo</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>spell_check</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.spell_check" id=link1447 onMouseOver="ShowTip(event, 'tt1440', 'link1447')" onMouseOut="HideTip('tt1440')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>sprint</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.sprint" id=link1448 onMouseOver="ShowTip(event, 'tt1441', 'link1448')" onMouseOut="HideTip('tt1441')" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>start</span><div class=ISubIndex><a href="../files/base/uvm_heartbeat-svh.html#uvm_heartbeat.start" id=link1449 onMouseOver="ShowTip(event, 'tt1442', 'link1449')" onMouseOut="HideTip('tt1442')" class=IParent>uvm_heartbeat</a><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.start" id=link1450 onMouseOver="ShowTip(event, 'tt1443', 'link1450')" onMouseOut="HideTip('tt1443')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>start_item</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.start_item" id=link1451 onMouseOver="ShowTip(event, 'tt1444', 'link1451')" onMouseOut="HideTip('tt1444')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>start_of_simulation_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.start_of_simulation_phase" id=link1452 onMouseOver="ShowTip(event, 'tt1445', 'link1452')" onMouseOut="HideTip('tt1445')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>start_offset</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_policy.start_offset" id=link1453 onMouseOver="ShowTip(event, 'tt1446', 'link1453')" onMouseOut="HideTip('tt1446')" class=IParent>uvm_mem_mam_policy</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>start_phase_sequence</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.start_phase_sequence" id=link1454 onMouseOver="ShowTip(event, 'tt1447', 'link1454')" onMouseOut="HideTip('tt1447')" class=IParent>uvm_sequencer_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>starting_phase</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.starting_phase" id=link1455 onMouseOver="ShowTip(event, 'tt1448', 'link1455')" onMouseOut="HideTip('tt1448')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>State</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase.State" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>status</span><div class=ISubIndex><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_bus_op.status" id=link1456 onMouseOver="ShowTip(event, 'tt1449', 'link1456')" onMouseOut="HideTip('tt1449')" class=IParent>uvm_reg_bus_op</a><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item.status" id=link1457 onMouseOver="ShowTip(event, 'tt1450', 'link1457')" onMouseOut="HideTip('tt1450')" class=IParent>uvm_reg_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>stop</span><div class=ISubIndex><a href="../files/base/uvm_heartbeat-svh.html#uvm_heartbeat.stop" id=link1458 onMouseOver="ShowTip(event, 'tt1451', 'link1458')" onMouseOut="HideTip('tt1451')" class=IParent>uvm_heartbeat</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>stop_sequences</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer-svh.html#uvm_sequencer#(REQ,RSP).stop_sequences" id=link1459 onMouseOver="ShowTip(event, 'tt1452', 'link1459')" onMouseOut="HideTip('tt1452')" class=IParent>uvm_sequencer#(REQ,RSP)</a><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.stop_sequences" id=link1460 onMouseOver="ShowTip(event, 'tt1453', 'link1460')" onMouseOut="HideTip('tt1453')" class=IParent>uvm_sequencer_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>stop_stimulus_generation</span><div class=ISubIndex><a href="../files/comps/uvm_random_stimulus-svh.html#uvm_random_stimulus#(T).stop_stimulus_generation" id=link1461 onMouseOver="ShowTip(event, 'tt1454', 'link1461')" onMouseOut="HideTip('tt1454')" class=IParent>uvm_random_stimulus#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#STOPPED" id=link1462 onMouseOver="ShowTip(event, 'tt1455', 'link1462')" onMouseOut="HideTip('tt1455')" class=ISymbol>STOPPED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>summarize</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_report_server.summarize" id=link1463 onMouseOver="ShowTip(event, 'tt1456', 'link1463')" onMouseOut="HideTip('tt1456')" class=IParent>uvm_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>summarize_report_catcher</span><div class=ISubIndex><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.summarize_report_catcher" id=link1464 onMouseOver="ShowTip(event, 'tt1457', 'link1464')" onMouseOut="HideTip('tt1457')" class=IParent>uvm_report_catcher</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>supports_byte_enable</span><div class=ISubIndex><a href="../files/reg/uvm_reg_adapter-svh.html#uvm_reg_adapter.supports_byte_enable" id=link1465 onMouseOver="ShowTip(event, 'tt1458', 'link1465')" onMouseOut="HideTip('tt1458')" class=IParent>uvm_reg_adapter</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>suspend</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.suspend" id=link1466 onMouseOver="ShowTip(event, 'tt1459', 'link1466')" onMouseOut="HideTip('tt1459')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>sync</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase.sync" id=link1467 onMouseOver="ShowTip(event, 'tt1460', 'link1467')" onMouseOut="HideTip('tt1460')" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>sync and unsync</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase.sync_and_unsync" id=link1468 onMouseOver="ShowTip(event, 'tt1461', 'link1468')" onMouseOut="HideTip('tt1461')" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Synchronization</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase.Synchronization" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/synchro-txt.html#Synchronization_Classes" class=ISymbol>Synchronization Classes</a></td></tr>
<tr><td class=IHeading><a name="T"></a>T</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>T</span><div class=ISubIndex><a href="../files/base/uvm_callback-svh.html#uvm_callbacks#(T,CB).T" id=link1469 onMouseOver="ShowTip(event, 'tt1462', 'link1469')" onMouseOut="HideTip('tt1462')" class=IParent>uvm_callbacks#(T,CB)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>T1 first</span><div class=ISubIndex><a href="../files/comps/uvm_pair-svh.html#uvm_built_in_pair#(T1,T2).T1_first" id=link1470 onMouseOver="ShowTip(event, 'tt1463', 'link1470')" onMouseOut="HideTip('tt1463')" class=IParent>uvm_built_in_pair#(T1,T2)</a><a href="../files/comps/uvm_pair-svh.html#uvm_class_pair#(T1,T2).T1_first" id=link1471 onMouseOver="ShowTip(event, 'tt1464', 'link1471')" onMouseOut="HideTip('tt1464')" class=IParent>uvm_class_pair#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>T2 second</span><div class=ISubIndex><a href="../files/comps/uvm_pair-svh.html#uvm_built_in_pair#(T1,T2).T2_second" id=link1472 onMouseOver="ShowTip(event, 'tt1465', 'link1472')" onMouseOut="HideTip('tt1465')" class=IParent>uvm_built_in_pair#(T1,T2)</a><a href="../files/comps/uvm_pair-svh.html#uvm_class_pair#(T1,T2).T2_second" id=link1473 onMouseOver="ShowTip(event, 'tt1466', 'link1473')" onMouseOut="HideTip('tt1466')" class=IParent>uvm_class_pair#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>tests</span><div class=ISubIndex><a href="../files/reg/sequences/uvm_reg_mem_built_in_seq-svh.html#uvm_reg_mem_built_in_seq.tests" id=link1474 onMouseOver="ShowTip(event, 'tt1467', 'link1474')" onMouseOut="HideTip('tt1467')" class=IParent>uvm_reg_mem_built_in_seq</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#TLM_Channel_Classes" id=link1475 onMouseOver="ShowTip(event, 'tt1468', 'link1475')" onMouseOut="HideTip('tt1468')" class=ISymbol>TLM Channel Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_exports-svh.html#TLM_Export_Classes" id=link1476 onMouseOver="ShowTip(event, 'tt1469', 'link1476')" onMouseOut="HideTip('tt1469')" class=ISymbol>TLM Export Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_tlm_fifos-svh.html#TLM_FIFO_Classes" id=link1477 onMouseOver="ShowTip(event, 'tt1470', 'link1477')" onMouseOut="HideTip('tt1470')" class=ISymbol>TLM FIFO Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#TLM_Generic_PayloadExtensions" id=link1478 onMouseOver="ShowTip(event, 'tt1471', 'link1478')" onMouseOut="HideTip('tt1471')" class=ISymbol>TLM Generic Payload&amp;Extensions</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#TLM_IF_Class" id=link1479 onMouseOver="ShowTip(event, 'tt1472', 'link1479')" onMouseOut="HideTip('tt1472')" class=ISymbol>TLM IF Class</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_tlm_defines-svh.html#TLM_Implementation_Port_Declaration_Macros" id=link1480 onMouseOver="ShowTip(event, 'tt1473', 'link1480')" onMouseOut="HideTip('tt1473')" class=ISymbol>TLM Implementation Port Declaration Macros</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#tlm_interfaces" class=ISymbol>tlm interfaces</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/tlm_ifs_and_ports-txt.html#TLM_Interfaces" id=link1481 onMouseOver="ShowTip(event, 'tt1474', 'link1481')" onMouseOut="HideTip('tt1474')" class=ISymbol>TLM Interfaces</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_ports-svh.html#TLM_Port_Classes" id=link1482 onMouseOver="ShowTip(event, 'tt1475', 'link1482')" onMouseOut="HideTip('tt1475')" class=ISymbol>TLM Port Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#TLM_Socket_Base_Classes" id=link1483 onMouseOver="ShowTip(event, 'tt1476', 'link1483')" onMouseOut="HideTip('tt1476')" class=ISymbol>TLM Socket Base Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#TLM_Sockets" id=link1484 onMouseOver="ShowTip(event, 'tt1477', 'link1484')" onMouseOut="HideTip('tt1477')" class=ISymbol>TLM Sockets</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>tlm transport methods</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#uvm_tlm_if.tlm_transport_methods" id=link1485 onMouseOver="ShowTip(event, 'tt1478', 'link1485')" onMouseOut="HideTip('tt1478')" class=IParent>uvm_tlm_if</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/tlm_ifs_and_ports-txt.html#TLM1" id=link1486 onMouseOver="ShowTip(event, 'tt1479', 'link1486')" onMouseOut="HideTip('tt1479')" class=ISymbol>TLM1</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/tlm1-txt.html#TLM1_Interfaces,Ports,Exports_and_Transport_Interfaces" id=link1487 onMouseOver="ShowTip(event, 'tt1480', 'link1487')" onMouseOut="HideTip('tt1480')" class=ISymbol>TLM1 Interfaces,Ports,Exports and Transport Interfaces</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/tlm_ifs_and_ports-txt.html#TLM2" id=link1488 onMouseOver="ShowTip(event, 'tt1481', 'link1488')" onMouseOut="HideTip('tt1481')" class=ISymbol>TLM2</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_exports-svh.html#TLM2_Export_Classes" id=link1489 onMouseOver="ShowTip(event, 'tt1482', 'link1489')" onMouseOut="HideTip('tt1482')" class=ISymbol>TLM2 Export Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_imps-svh.html#TLM2_imps(interface_implementations)" id=link1490 onMouseOver="ShowTip(event, 'tt1483', 'link1490')" onMouseOut="HideTip('tt1483')" class=ISymbol>TLM2 imps(interface implementations)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/tlm2-txt.html#TLM2_Interfaces,Ports,Exports_and_Transport_Interfaces_Subset" id=link1491 onMouseOver="ShowTip(event, 'tt1484', 'link1491')" onMouseOut="HideTip('tt1484')" class=ISymbol>TLM2 Interfaces,Ports,Exports and Transport Interfaces Subset</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ports-svh.html#TLM2_ports" id=link1492 onMouseOver="ShowTip(event, 'tt1485', 'link1492')" onMouseOut="HideTip('tt1485')" class=ISymbol>TLM2 ports</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Tool information</span><div class=ISubIndex><a href="../files/base/uvm_cmdline_processor-svh.html#uvm_cmdline_processor.Tool_information" class=IParent>uvm_cmdline_processor</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>top_levels</span><div class=ISubIndex><a href="../files/base/uvm_root-svh.html#uvm_root.top_levels" id=link1493 onMouseOver="ShowTip(event, 'tt1486', 'link1493')" onMouseOut="HideTip('tt1486')" class=IParent>uvm_root</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>tr_handle</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.tr_handle" id=link1494 onMouseOver="ShowTip(event, 'tt1487', 'link1494')" onMouseOut="HideTip('tt1487')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>trace_mode</span><div class=ISubIndex><a href="../files/base/uvm_objection-svh.html#uvm_objection.trace_mode" id=link1495 onMouseOver="ShowTip(event, 'tt1488', 'link1495')" onMouseOut="HideTip('tt1488')" class=IParent>uvm_objection</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>transport</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2).transport" id=link1496 onMouseOver="ShowTip(event, 'tt1489', 'link1496')" onMouseOut="HideTip('tt1489')" class=IParent>uvm_tlm_if_base#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/tlm1-txt.html#Transport" id=link1497 onMouseOver="ShowTip(event, 'tt1490', 'link1497')" onMouseOut="HideTip('tt1490')" class=ISymbol>Transport</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>transport_export</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_transport_channel#(REQ,RSP).transport_export" id=link1498 onMouseOver="ShowTip(event, 'tt1491', 'link1498')" onMouseOut="HideTip('tt1491')" class=IParent>uvm_tlm_transport_channel#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>traverse</span><div class=ISubIndex><a href="../files/base/uvm_bottomup_phase-svh.html#uvm_bottomup_phase.traverse" id=link1499 onMouseOver="ShowTip(event, 'tt1492', 'link1499')" onMouseOut="HideTip('tt1492')" class=IParent>uvm_bottomup_phase</a><a href="../files/base/uvm_task_phase-svh.html#uvm_task_phase.traverse" id=link1500 onMouseOver="ShowTip(event, 'tt1493', 'link1500')" onMouseOut="HideTip('tt1493')" class=IParent>uvm_task_phase</a><a href="../files/base/uvm_topdown_phase-svh.html#uvm_topdown_phase.traverse" id=link1501 onMouseOver="ShowTip(event, 'tt1494', 'link1501')" onMouseOut="HideTip('tt1494')" class=IParent>uvm_topdown_phase</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>trigger</span><div class=ISubIndex><a href="../files/base/uvm_event-svh.html#uvm_event.trigger" id=link1502 onMouseOver="ShowTip(event, 'tt1495', 'link1502')" onMouseOut="HideTip('tt1495')" class=IParent>uvm_event</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>try_get</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2).try_get" id=link1503 onMouseOver="ShowTip(event, 'tt1496', 'link1503')" onMouseOut="HideTip('tt1496')" class=IParent>uvm_tlm_if_base#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>try_next_item</span><div class=ISubIndex><a href="../files/tlm1/uvm_sqr_ifs-svh.html#uvm_sqr_if_base#(REQ,RSP).try_next_item" id=link1504 onMouseOver="ShowTip(event, 'tt1497', 'link1504')" onMouseOut="HideTip('tt1497')" class=IParent>uvm_sqr_if_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>try_peek</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2).try_peek" id=link1505 onMouseOver="ShowTip(event, 'tt1498', 'link1505')" onMouseOut="HideTip('tt1498')" class=IParent>uvm_tlm_if_base#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>try_put</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2).try_put" id=link1506 onMouseOver="ShowTip(event, 'tt1499', 'link1506')" onMouseOut="HideTip('tt1499')" class=IParent>uvm_tlm_if_base#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>turn_off_auditing</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_options.turn_off_auditing" id=link1507 onMouseOver="ShowTip(event, 'tt1500', 'link1507')" onMouseOut="HideTip('tt1500')" class=IParent>uvm_resource_options</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>turn_off_tracing</span><div class=ISubIndex><a href="../files/base/uvm_config_db-svh.html#uvm_config_db_options.turn_off_tracing" id=link1508 onMouseOver="ShowTip(event, 'tt1501', 'link1508')" onMouseOut="HideTip('tt1501')" class=IParent>uvm_config_db_options</a><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db_options.turn_off_tracing" id=link1509 onMouseOver="ShowTip(event, 'tt1502', 'link1509')" onMouseOut="HideTip('tt1502')" class=IParent>uvm_resource_db_options</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>turn_on_auditing</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_options.turn_on_auditing" id=link1510 onMouseOver="ShowTip(event, 'tt1503', 'link1510')" onMouseOut="HideTip('tt1503')" class=IParent>uvm_resource_options</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>turn_on_tracing</span><div class=ISubIndex><a href="../files/base/uvm_config_db-svh.html#uvm_config_db_options.turn_on_tracing" id=link1511 onMouseOver="ShowTip(event, 'tt1504', 'link1511')" onMouseOut="HideTip('tt1504')" class=IParent>uvm_config_db_options</a><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db_options.turn_on_tracing" id=link1512 onMouseOver="ShowTip(event, 'tt1505', 'link1512')" onMouseOut="HideTip('tt1505')" class=IParent>uvm_resource_db_options</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Type Interface</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource#(T).Type_Interface" id=link1513 onMouseOver="ShowTip(event, 'tt1506', 'link1513')" onMouseOut="HideTip('tt1506')" class=IParent>uvm_resource#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Type&amp;Instance Overrides</span><div class=ISubIndex><a href="../files/base/uvm_factory-svh.html#uvm_factory.TypeInstance_Overrides" class=IParent>uvm_factory</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>type_name</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.type_name" id=link1514 onMouseOver="ShowTip(event, 'tt1507', 'link1514')" onMouseOut="HideTip('tt1507')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#Typedefs" class=ISymbol>Typedefs</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Types</span><div class=ISubIndex><a href="../files/reg/uvm_reg_model-svh.html#Types" class=IParent>Global</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg_cbs.Types" class=IParent>uvm_vreg_cbs</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field_cbs.Types" class=IParent>uvm_vreg_field_cbs</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#Types_and_Enumerations" class=ISymbol>Types and Enumerations</a></td></tr></table>
<!--START_ND_TOOLTIPS-->
<div class=CToolTip id="tt1257"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>protected virtual function void sample(</td> <td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset,</td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>is_read,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Functional coverage measurement method</div></div><div class=CToolTip id="tt1258"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>protected virtual function void sample(</td> <td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>data,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>byte_en,</td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>is_read,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Functional coverage measurement method</div></div><div class=CToolTip id="tt1259"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>protected virtual function void sample(</td> <td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset,</td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>is_read,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Functional coverage measurement method</div></div><div class=CToolTip id="tt1260"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void sample_values()
</td></tr></table></blockquote>Functional coverage measurement method for field values</div></div><div class=CToolTip id="tt1261"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void sample_values()
</td></tr></table></blockquote>Functional coverage measurement method for field values</div></div><div class=CToolTip id="tt1262"><div class=CGroup>Each resource has a name, a value and a set of scopes over which it is visible. </div></div><div class=CToolTip id="tt1263"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void send_request(</td> <td class=PType nowrap>uvm_sequence_item&nbsp;</td>
<td class=PParameter nowrap>request,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>rerandomize</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
This method will send the request item to the sequencer, which will forward it to the driver. </div></div><div class=CToolTip id="tt1264"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void send_request(</td> <td class=PType nowrap>uvm_sequence_item&nbsp;</td>
<td class=PParameter nowrap>request,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>rerandomize</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
The send_request function may only be called after a wait_for_grant call. </div></div><div class=CToolTip id="tt1265"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void send_request(</td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>sequence_ptr,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_sequence_item&nbsp;</td>
<td class=PParameter nowrap>t,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>rerandomize</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Derived classes implement this function to send a request item to the sequencer, which will forward it to the driver. </div></div><div class=CToolTip id="tt1266"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void send_request(</td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>sequence_ptr,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_sequence_item&nbsp;</td>
<td class=PParameter nowrap>t,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>rerandomize</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
The send_request function may only be called after a wait_for_grant call. </div></div><div class=CToolTip id="tt1267"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>string separator = &quot;{}&quot;
</td></tr></table></blockquote>For tree printers only, determines the opening and closing separators used for nested objects.</div></div><div class=CToolTip id="tt1268"><div class=CConstant>Requests are granted in FIFO order (default)</div></div><div class=CToolTip id="tt1269"><div class=CConstant>Requests are granted randomly</div></div><div class=CToolTip id="tt1270"><div class=CConstant>Requests at highest priority granted in fifo order</div></div><div class=CToolTip id="tt1271"><div class=CConstant>Requests at highest priority granted in randomly</div></div><div class=CToolTip id="tt1272"><div class=CConstant>Arbitration is delegated to the user-defined function, user_priority_arbitration. </div></div><div class=CToolTip id="tt1273"><div class=CConstant>Requests are granted randomly by weight</div></div><div class=CToolTip id="tt1274"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>uvm_seq_item_pull_imp #(</td> <td class=PParameter nowrap>REQ,</td>
</tr><tr><td></td> <td class=PParameter nowrap>RSP,</td>
</tr><tr><td></td> <td class=PParameter nowrap>this_type</td>
<td class=PAfterParameters nowrap>) seq_item_export</td></tr>
</table></td></tr>
</table></blockquote>
This export provides access to this sequencer&rsquo;s implementation of the sequencer interface, uvm_sqr_if_base #(REQ,RSP), which defines the following methods:</div></div><div class=CToolTip id="tt1275"><div class=CPort>Derived driver classes should use this port to request items from the sequencer. </div></div><div class=CToolTip id="tt1276"><div class=CGroup>These macros are used to start sequences and sequence items on the default sequencer, <i>m_sequencer</i>. </div></div><div class=CToolTip id="tt1277"><div class=CGroup>These macros are used to start sequences and sequence items that do not need to be created.</div></div><div class=CToolTip id="tt1278"><div class=CSection>Sequences encapsulate user-defined procedures that generate multiple uvm_sequence_item-based transactions. </div></div><div class=CToolTip id="tt1279"><div class=CSection>This section defines the port, export, and imp port classes for communicating sequence items between uvm_sequencer #(REQ,RSP) and uvm_driver #(REQ,RSP).</div></div><div class=CToolTip id="tt1280"><div class=CGroup>These macros are used to start sequences and sequence items on a specific sequencer. </div></div><div class=CToolTip id="tt1281"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_sequencer_base sequencer
</td></tr></table></blockquote>Sequencer executing the operation</div></div><div class=CToolTip id="tt1282"><div class=CSection>The sequencer serves as an arbiter for controlling transaction flow from multiple stimulus generators. </div></div><div class=CToolTip id="tt1283"><div class=CGeneric>A push or pull port, with well-defined completion semantics. </div></div><div class=CToolTip id="tt1284"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void set(</td> <td class=PType nowrap>uvm_component&nbsp;</td>
<td class=PParameter nowrap>cntxt,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>inst_name,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>field_name,</td>
</tr><tr><td></td> <td class=PType nowrap>T&nbsp;</td>
<td class=PParameter nowrap>value</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Create a new or update an existing configuration setting for <i>field_name</i> in <i>inst_name</i> from <i>cntxt</i>. </div></div><div class=CToolTip id="tt1285"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set(</td> <td class=PType nowrap>uvm_hdl_path_slice&nbsp;</td>
<td class=PParameter nowrap>t[]</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Initialize the concatenation using an array literal</div></div><div class=CToolTip id="tt1286"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set (</td> <td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the desired value for this register</div></div><div class=CToolTip id="tt1287"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set(</td> <td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the desired value for this field</div></div><div class=CToolTip id="tt1288"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set(</td> <td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Pushes the given value to the abstract FIFO. </div></div><div class=CToolTip id="tt1289"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void set()
</td></tr></table></blockquote>Simply put this resource into the global resource pool</div></div><div class=CToolTip id="tt1290"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void set(</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>scope,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>T&nbsp;</td>
<td class=PParameter nowrap>val,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>accessor</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Create a new resource, write a <i>val</i> to it, and set it into the database using <i>name</i> and <i>scope</i> as the lookup parameters. </div></div><div class=CToolTip id="tt1291"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set (</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_resource_base&nbsp;</td>
<td class=PParameter nowrap>rsrc,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PTypePrefix nowrap>uvm_resource_types::</td>
<td class=PType nowrap>override_t&nbsp;</td>
<td class=PParameter nowrap>override</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Add a new resource to the resource pool. </div></div><div class=CToolTip id="tt1292"><div class=CMethod>Change the search priority of the resource based on the value of the priority enum argument, <i>pri</i>.</div></div><div class=CToolTip id="tt1293"><div class=CMethod>Change the search priority of the resource based on the value of the priority enum argument.</div></div><div class=CToolTip id="tt1294"><div class=CGroup>Functions for altering the search priority of resources. </div></div><div class=CToolTip id="tt1295"><div class=CGroup>uvm_resource#(T) provides an interface for setting and getting a resources. </div></div><div class=CToolTip id="tt1296"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_abstime(</td> <td class=PType nowrap>real&nbsp;</td>
<td class=PParameter nowrap>t,</td>
</tr><tr><td></td> <td class=PType nowrap>real&nbsp;</td>
<td class=PParameter nowrap>secs</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the current canonical time value, to the number of specified time unit, reguardless of the current timescale of the caller.</div></div><div class=CToolTip id="tt1297"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function string set_access(</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>mode</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Modify the access policy of the field</div></div><div class=CToolTip id="tt1298"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>protected function void set_action(</td> <td class=PType nowrap>uvm_action&nbsp;</td>
<td class=PParameter nowrap>action</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Change the action of the message to <i>action</i>. </div></div><div class=CToolTip id="tt1299"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_address(</td> <td class=PTypePrefix nowrap>bit&nbsp;</td>
<td class=PType nowrap>[63:0]&nbsp;</td>
<td class=PParameter nowrap>addr</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the value of the m_address variable</div></div><div class=CToolTip id="tt1300"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void set_anonymous(</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>scope,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>T&nbsp;</td>
<td class=PParameter nowrap>val,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>accessor</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Create a new resource, write a <i>val</i> to it, and set it into the database. </div></div><div class=CToolTip id="tt1301"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_arbitration(</td> <td class=PType nowrap>SEQ_ARB_TYPE&nbsp;</td>
<td class=PParameter nowrap>val</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Specifies the arbitration mode for the sequencer. </div></div><div class=CToolTip id="tt1302"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_auto_predict(</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>on</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the auto-predict mode for his map.</div></div><div class=CToolTip id="tt1303"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_auto_reset (</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>value</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Determines if the barrier should reset itself after the threshold is reached.</div></div><div class=CToolTip id="tt1304"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_backdoor (</td> <td class=PType nowrap>uvm_reg_backdoor&nbsp;</td>
<td class=PParameter nowrap>bkdr,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set a user-defined backdoor for this memory</div></div><div class=CToolTip id="tt1305"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_backdoor(</td> <td class=PType nowrap>uvm_reg_backdoor&nbsp;</td>
<td class=PParameter nowrap>bkdr,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set a user-defined backdoor for this register</div></div><div class=CToolTip id="tt1306"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_backdoor (</td> <td class=PType nowrap>uvm_reg_backdoor&nbsp;</td>
<td class=PParameter nowrap>bkdr,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the user-defined backdoor for all registers in this block</div></div><div class=CToolTip id="tt1307"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_base_addr (</td> <td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the base address of this map.</div></div><div class=CToolTip id="tt1308"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_byte_enable(</td> <td class=PTypePrefix nowrap>ref byte&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>p[]</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the value of the m_byte_enable array</div></div><div class=CToolTip id="tt1309"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_byte_enable_length(</td> <td class=PTypePrefix nowrap>int&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>length</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the size m_byte_enable_length of the m_byte_enable array i.e m_byte_enable.size()</div></div><div class=CToolTip id="tt1310"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_check_on_read(</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>on</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the check-on-read mode for his map and all of its submaps.</div></div><div class=CToolTip id="tt1311"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_command(</td> <td class=PType nowrap>uvm_tlm_command_e&nbsp;</td>
<td class=PParameter nowrap>command</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the value of the m_command variable</div></div><div class=CToolTip id="tt1312"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_compare(</td> <td class=PType nowrap>uvm_check_e&nbsp;</td>
<td class=PParameter nowrap>check</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>UVM_CHECK</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the compare policy during a mirror update. </div></div><div class=CToolTip id="tt1313"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_compare(</td> <td class=PType nowrap>uvm_check_e&nbsp;</td>
<td class=PParameter nowrap>check</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>UVM_CHECK</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the compare policy during a mirror (read) of the DUT FIFO. </div></div><div class=CToolTip id="tt1314"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_config_int (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>inst_name,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>field_name,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_bitstream_t&nbsp;</td>
<td class=PParameter nowrap>value</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
This is the global version of set_config_int in uvm_component. </div></div><div class=CToolTip id="tt1315"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_config_int (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>inst_name,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>field_name,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_bitstream_t&nbsp;</td>
<td class=PParameter nowrap>value</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1316"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_config_object (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>inst_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>field_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>clone</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
This is the global version of set_config_object in uvm_component. </div></div><div class=CToolTip id="tt1317"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_config_object (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>inst_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>field_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>clone</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Calling set_config_* causes configuration settings to be created and placed in a table internal to this component. </div></div><div class=CToolTip id="tt1318"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_config_string (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>inst_name,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>field_name,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>value</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
This is the global version of set_config_string in uvm_component. </div></div><div class=CToolTip id="tt1319"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_config_string (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>inst_name,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>field_name,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>value</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1320"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function uvm_reg_cvr_t set_coverage(</td> <td class=PType nowrap>uvm_reg_cvr_t&nbsp;</td>
<td class=PParameter nowrap>is_on</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Turns on coverage measurement.</div></div><div class=CToolTip id="tt1321"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function uvm_reg_cvr_t set_coverage(</td> <td class=PType nowrap>uvm_reg_cvr_t&nbsp;</td>
<td class=PParameter nowrap>is_on</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Turns on coverage measurement.</div></div><div class=CToolTip id="tt1322"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function uvm_reg_cvr_t set_coverage(</td> <td class=PType nowrap>uvm_reg_cvr_t&nbsp;</td>
<td class=PParameter nowrap>is_on</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Turns on coverage measurement.</div></div><div class=CToolTip id="tt1323"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_data(</td> <td class=PTypePrefix nowrap>ref byte unsigned&nbsp;</td>
<td class=PType nowrap>p&nbsp;</td>
<td class=PParameter nowrap>[]</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the value of the m_data array</div></div><div class=CToolTip id="tt1324"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_data_length(</td> <td class=PTypePrefix nowrap>int&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>length</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the value of the m_length</div></div><div class=CToolTip id="tt1325"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function rsrc_t set_default(</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>scope,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
add a new item into the resources database. </div></div><div class=CToolTip id="tt1326"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_default_hdl_path (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the default design abstraction</div></div><div class=CToolTip id="tt1327"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_default_hdl_path (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the default design abstraction</div></div><div class=CToolTip id="tt1328"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_default_index (</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>index</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the default implementation port to use when calling an interface method. </div></div><div class=CToolTip id="tt1329"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_default_map (</td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Defines the default address map</div></div><div class=CToolTip id="tt1330"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_depth(</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>value</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
The depth of any sequence is calculated automatically. </div></div><div class=CToolTip id="tt1331"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_dmi_allowed(</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>dmi</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
DMI hint. </div></div><div class=CToolTip id="tt1332"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_domain(</td> <td class=PType nowrap>uvm_domain&nbsp;</td>
<td class=PParameter nowrap>domain,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>hier</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Apply a phase domain to this component and, if <i>hier</i> is set, recursively to all its children.</div></div><div class=CToolTip id="tt1333"><div class=CMethod>Sets the drain time on the given <i>object</i> to <i>drain</i>.</div></div><div class=CToolTip id="tt1334"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function uvm_tlm_extension_base set_extension(</td> <td class=PType nowrap>uvm_tlm_extension_base&nbsp;</td>
<td class=PParameter nowrap>ext</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Add an instance-specific extension. </div></div><div class=CToolTip id="tt1335"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_frontdoor(</td> <td class=PType nowrap>uvm_reg_frontdoor&nbsp;</td>
<td class=PParameter nowrap>ftdr,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set a user-defined frontdoor for this memory</div></div><div class=CToolTip id="tt1336"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_frontdoor(</td> <td class=PType nowrap>uvm_reg_frontdoor&nbsp;</td>
<td class=PParameter nowrap>ftdr,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set a user-defined frontdoor for this register</div></div><div class=CToolTip id="tt1337"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_hdl_path_root (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>path,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;RTL&quot;</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Specify a root HDL path</div></div><div class=CToolTip id="tt1338"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_heartbeat (</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_event&nbsp;</td>
<td class=PParameter nowrap>e,</td>
</tr><tr><td></td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_component&nbsp;</td>
<td class=PParameter nowrap>comps[$]</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets up the heartbeat event and assigns a list of objects to watch. </div></div><div class=CToolTip id="tt1339"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>protected function void set_id(</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Change the id of the message to <i>id</i>. </div></div><div class=CToolTip id="tt1340"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_id_count(</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>count</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1341"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_id_info(</td> <td class=PType nowrap>uvm_sequence_item&nbsp;</td>
<td class=PParameter nowrap>item</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Copies the sequence_id and transaction_id from the referenced item into the calling item. </div></div><div class=CToolTip id="tt1342"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_initiator (</td> <td class=PType nowrap>uvm_component&nbsp;</td>
<td class=PParameter nowrap>initiator</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets initiator as the initiator of this transaction.</div></div><div class=CToolTip id="tt1343"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_inst_override(</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>relative_inst_path,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>original_type_name,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>override_type_name</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
A convenience function for uvm_factory::set_inst_override_by_name, this method registers a factory override for components created at this level of hierarchy or below. </div></div><div class=CToolTip id="tt1344"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void set_inst_override(</td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>override_type,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>inst_path,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_component&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Configures the factory to create a component of the type represented by <i>override_type</i> whenever a request is made to create an object of the type, <i>T</i>, represented by this proxy, with matching instance paths. </div></div><div class=CToolTip id="tt1345"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void set_inst_override(</td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>override_type,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>inst_path,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_component&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Configures the factory to create an object of the type represented by <i>override_type</i> whenever a request is made to create an object of the type represented by this proxy, with matching instance paths. </div></div><div class=CToolTip id="tt1346"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_inst_override_by_name (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>original_type_name,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>override_type_name,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>full_inst_path</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Configures the factory to create an object of the override&rsquo;s type whenever a request is made to create an object of the original type using a context that matches <i>full_inst_path</i>. </div></div><div class=CToolTip id="tt1347"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_inst_override_by_type(</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>relative_inst_path,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>original_type,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>override_type</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
A convenience function for uvm_factory::set_inst_override_by_type, this method registers a factory override for components and objects created at this level of hierarchy or below. </div></div><div class=CToolTip id="tt1348"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_inst_override_by_type (</td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>original_type,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>override_type,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>full_inst_path</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1349"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_int_local (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>field_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_bitstream_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>recurse</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1350"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_item_context(</td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent_seq,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_sequencer_base&nbsp;</td>
<td class=PParameter nowrap>sequencer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the sequence and sequencer execution context for a sequence item</div></div><div class=CToolTip id="tt1351"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_max_quit_count(</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>count,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>overridable</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1352"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>protected function void set_message(</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Change the text of the message to <i>message</i>. </div></div><div class=CToolTip id="tt1353"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function uvm_heartbeat_modes set_mode (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_heartbeat_modes&nbsp;</td>
<td class=PParameter nowrap>mode</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_NO_HB_MODE</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets or retrieves the heartbeat mode. </div></div><div class=CToolTip id="tt1354"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_name (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the instance name of this object, overwriting any previously given name.</div></div><div class=CToolTip id="tt1355"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_name_override(</td> <td class=PType nowrap>uvm_resource_base&nbsp;</td>
<td class=PParameter nowrap>rsrc</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
The resource provided as an argument will entered into the pool using normal precedence in the type map and will override the name.</div></div><div class=CToolTip id="tt1356"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_num_last_reqs(</td> <td class=PTypePrefix nowrap>int&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>max</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the size of the last_requests buffer. </div></div><div class=CToolTip id="tt1357"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_num_last_rsps(</td> <td class=PTypePrefix nowrap>int&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>max</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the size of the last_responses buffer. </div></div><div class=CToolTip id="tt1358"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_object_local (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>field_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>clone</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1,</td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>recurse</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
These methods provide write access to integral, string, and uvm_object-based properties indexed by a <i>field_name</i> string. </div></div><div class=CToolTip id="tt1359"><div class=CMethod>Modify the offset of the memory</div></div><div class=CToolTip id="tt1360"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_offset (</td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>unmapped</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Modify the offset of the register</div></div><div class=CToolTip id="tt1361"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void set_override(
</td></tr></table></blockquote>Put a resource into the global resource pool as an override. </div></div><div class=CToolTip id="tt1362"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_override(</td> <td class=PType nowrap>uvm_resource_base&nbsp;</td>
<td class=PParameter nowrap>rsrc</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
The resource provided as an argument will be entered into the pool and will override both by name and type.</div></div><div class=CToolTip id="tt1363"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_parent_sequence(</td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the parent sequence of this sequence_item. </div></div><div class=CToolTip id="tt1364"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_phase_imp(</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>phase,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>imp,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>hier</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Override the default implementation for a phase on this component (tree) with a custom one, which must be created as a singleton object extending the default one and implementing required behavior in exec and traverse methods</div></div><div class=CToolTip id="tt1365"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_priority (</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_resource_base&nbsp;</td>
<td class=PParameter nowrap>rsrc,</td>
</tr><tr><td></td> <td class=PTypePrefix nowrap>uvm_resource_types::</td>
<td class=PType nowrap>priority_e&nbsp;</td>
<td class=PParameter nowrap>pri</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Change the search priority of the <i>rsrc</i> based on the value of <i>pri</i>, the priority enum argument. </div></div><div class=CToolTip id="tt1366"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_priority (</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>value</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
The priority of a sequence may be changed at any point in time. </div></div><div class=CToolTip id="tt1367"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_priority_name(</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_resource_base&nbsp;</td>
<td class=PParameter nowrap>rsrc,</td>
</tr><tr><td></td> <td class=PTypePrefix nowrap>uvm_resource_types::</td>
<td class=PType nowrap>priority_e&nbsp;</td>
<td class=PParameter nowrap>pri</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Change the priority of the <i>rsrc</i> based on the value of <i>pri</i>, the priority enum argument. </div></div><div class=CToolTip id="tt1368"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_priority_type(</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_resource_base&nbsp;</td>
<td class=PParameter nowrap>rsrc,</td>
</tr><tr><td></td> <td class=PTypePrefix nowrap>uvm_resource_types::</td>
<td class=PType nowrap>priority_e&nbsp;</td>
<td class=PParameter nowrap>pri</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Change the priority of the <i>rsrc</i> based on the value of <i>pri</i>, the priority enum argument. </div></div><div class=CToolTip id="tt1369"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_quit_count(</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>quit_count</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1370"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void set_read()
</td></tr></table></blockquote>Set the current value of the m_command variable to <i>UVM_TLM_READ_COMMAND</i>.</div></div><div class=CToolTip id="tt1371"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void set_read_only()
</td></tr></table></blockquote>Establishes this resource as a read-only resource. </div></div><div class=CToolTip id="tt1372"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_default_file (</td> <td class=PType nowrap>UVM_FILE&nbsp;</td>
<td class=PParameter nowrap>file</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1373"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_default_file_hier (</td> <td class=PType nowrap>UVM_FILE&nbsp;</td>
<td class=PParameter nowrap>file</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1374"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_handler(</td> <td class=PType nowrap>uvm_report_handler&nbsp;</td>
<td class=PParameter nowrap>handler</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the report handler, overwriting the default instance. </div></div><div class=CToolTip id="tt1375"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_id_action (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_action&nbsp;</td>
<td class=PParameter nowrap>action</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1376"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_id_action_hier (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_action&nbsp;</td>
<td class=PParameter nowrap>action</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1377"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_id_file (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>UVM_FILE&nbsp;</td>
<td class=PParameter nowrap>file</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1378"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_id_file_hier (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>UVM_FILE&nbsp;</td>
<td class=PParameter nowrap>file</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1379"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_id_verbosity (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1380"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_id_verbosity_hier (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1381"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_max_quit_count(</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>max_count</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the maximum quit count in the report handler to <i>max_count</i>. </div></div><div class=CToolTip id="tt1382"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_action (</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_action&nbsp;</td>
<td class=PParameter nowrap>action</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1383"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_action_hier (</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_action&nbsp;</td>
<td class=PParameter nowrap>action</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1384"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_file (</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
</tr><tr><td></td> <td class=PType nowrap>UVM_FILE&nbsp;</td>
<td class=PParameter nowrap>file</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1385"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_file_hier (</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
</tr><tr><td></td> <td class=PType nowrap>UVM_FILE&nbsp;</td>
<td class=PParameter nowrap>file</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1386"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_id_action (</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_action&nbsp;</td>
<td class=PParameter nowrap>action</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
These methods associate the specified action or actions with reports of the given <i>severity</i>, <i>id</i>, or <i>severity-id</i> pair. </div></div><div class=CToolTip id="tt1387"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_id_action_hier(</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_action&nbsp;</td>
<td class=PParameter nowrap>action</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
These methods recursively associate the specified action with reports of the given <i>severity</i>, <i>id</i>, or <i>severity-id</i> pair. </div></div><div class=CToolTip id="tt1388"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_id_file (</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>UVM_FILE&nbsp;</td>
<td class=PParameter nowrap>file</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
These methods configure the report handler to direct some or all of its output to the given file descriptor. </div></div><div class=CToolTip id="tt1389"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_id_file_hier(</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>UVM_FILE&nbsp;</td>
<td class=PParameter nowrap>file</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
These methods recursively associate the specified FILE descriptor with reports of the given <i>severity</i>, <i>id</i>, or <i>severity-id</i> pair. </div></div><div class=CToolTip id="tt1390"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_id_override(</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>cur_severity,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>new_severity</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
These methods provide the ability to upgrade or downgrade a message in terms of severity given <i>severity</i> and <i>id</i>. </div></div><div class=CToolTip id="tt1391"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_id_verbosity (</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
These methods associate the specified verbosity with reports of the given <i>severity</i>, <i>id</i>, or <i>severity-id</i> pair. </div></div><div class=CToolTip id="tt1392"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_id_verbosity_hier(</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
These methods recursively associate the specified verbosity with reports of the given <i>severity</i>, <i>id</i>, or <i>severity-id</i> pair. </div></div><div class=CToolTip id="tt1393"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_severity_override(</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>cur_severity,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>new_severity</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1394"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_verbosity_level (</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity_level</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
This method sets the maximum verbosity level for reports for this component. </div></div><div class=CToolTip id="tt1395"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_report_verbosity_level_hier (</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
This method recursively sets the maximum verbosity level for reports for this component and all those below it. </div></div><div class=CToolTip id="tt1396"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_reset(</td> <td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;HARD&quot;</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Specify or modify the reset value for this register</div></div><div class=CToolTip id="tt1397"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_reset(</td> <td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;HARD&quot;</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Specify or modify the reset value for this field</div></div><div class=CToolTip id="tt1398"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_response_queue_depth(</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>value</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
The default maximum depth of the response queue is 8. </div></div><div class=CToolTip id="tt1399"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_response_queue_error_report_disabled(</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>value</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
By default, if the response_queue overflows, an error is reported. </div></div><div class=CToolTip id="tt1400"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_response_status(</td> <td class=PType nowrap>uvm_tlm_response_status_e&nbsp;</td>
<td class=PParameter nowrap>status</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the current value of the m_response_status variable</div></div><div class=CToolTip id="tt1401"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_scope(</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>s</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the value of the regular expression that identifies the set of scopes over which this resource is visible. </div></div><div class=CToolTip id="tt1402"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_sequencer (</td> <td class=PType nowrap>uvm_sequencer_base&nbsp;</td>
<td class=PParameter nowrap>sequencer,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_reg_adapter&nbsp;</td>
<td class=PParameter nowrap>adapter</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the sequencer and adapter associated with this map. </div></div><div class=CToolTip id="tt1403"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_sequencer(</td> <td class=PType nowrap>uvm_sequencer_base&nbsp;</td>
<td class=PParameter nowrap>sequencer</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the default sequencer for the sequence to sequencer. </div></div><div class=CToolTip id="tt1404"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void set_server(</td> <td class=PType nowrap>uvm_report_server&nbsp;</td>
<td class=PParameter nowrap>server</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the global report server to use for reporting. </div></div><div class=CToolTip id="tt1405"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>protected function void set_severity(</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Change the severity of the message to <i>severity</i>. </div></div><div class=CToolTip id="tt1406"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_severity_count(</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>count</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1407"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_streaming_width(</td> <td class=PTypePrefix nowrap>int&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>width</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the value of the m_streaming_width array</div></div><div class=CToolTip id="tt1408"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_string_local (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>field_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>recurse</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1409"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_submap_offset (</td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>submap,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the offset of the given <i>submap</i> to <i>offset</i>.</div></div><div class=CToolTip id="tt1410"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_threshold (</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>threshold</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the process threshold.</div></div><div class=CToolTip id="tt1411"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void set_time_resolution(</td> <td class=PType nowrap>real&nbsp;</td>
<td class=PParameter nowrap>res</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set the default canonical time resolution.</div></div><div class=CToolTip id="tt1412"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_timeout(</td> <td class=PType nowrap>time&nbsp;</td>
<td class=PParameter nowrap>timeout,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>overridable</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Specifies the timeout for the simulation. </div></div><div class=CToolTip id="tt1413"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_transaction_id(</td> <td class=PType nowrap>integer&nbsp;</td>
<td class=PParameter nowrap>id</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets this transaction&rsquo;s numeric identifier to id. </div></div><div class=CToolTip id="tt1414"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void set_type_override(</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>original_type_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>override_type_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>replace</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
A convenience function for uvm_factory::set_type_override_by_name, this method configures the factory to create an object of type <i>override_type_name</i> whenever the factory is asked to produce a type represented by <i>original_type_name</i>. </div></div><div class=CToolTip id="tt1415"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void set_type_override (</td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>override_type,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>replace</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Configures the factory to create an object of the type represented by <i>override_type</i> whenever a request is made to create an object of the type, <i>T</i>, represented by this proxy, provided no instance override applies. </div></div><div class=CToolTip id="tt1416"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void set_type_override (</td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>override_type,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>replace</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Configures the factory to create an object of the type represented by <i>override_type</i> whenever a request is made to create an object of the type represented by this proxy, provided no instance override applies. </div></div><div class=CToolTip id="tt1417"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_type_override(</td> <td class=PType nowrap>uvm_resource_base&nbsp;</td>
<td class=PParameter nowrap>rsrc</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
The resource provided as an argument will be entered into the pool using noraml precedence in the name map and will override the type.</div></div><div class=CToolTip id="tt1418"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_type_override_by_name (</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>original_type_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>override_type_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>replace</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Configures the factory to create an object of the override&rsquo;s type whenever a request is made to create an object of the original type, provided no instance override applies. </div></div><div class=CToolTip id="tt1419"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>static function void set_type_override_by_type (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>original_type,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>override_type,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>replace</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
A convenience function for uvm_factory::set_type_override_by_type, this method registers a factory override for components and objects created at this level of hierarchy or below. </div></div><div class=CToolTip id="tt1420"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_type_override_by_type (</td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>original_type,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_object_wrapper&nbsp;</td>
<td class=PParameter nowrap>override_type,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>replace</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1421"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void set_use_sequence_info(</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>value</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1422"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>protected function void set_verbosity(</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Change the verbosity of the message to <i>verbosity</i>. </div></div><div class=CToolTip id="tt1423"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void set_volatility(</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>volatile</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Modify the volatility of the field to the specified one.</div></div><div class=CToolTip id="tt1424"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void set_write()
</td></tr></table></blockquote>Set the current value of the m_command variable to <i>UVM_TLM_WRITE_COMMAND</i>.</div></div><div class=CToolTip id="tt1425"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_severity sev = UVM_INFO
</td></tr></table></blockquote>Sets the severity for printed messages.</div></div><div class=CToolTip id="tt1426"><div class=CSection>This section defines sequences for testing registers and memories that are shared between two or more physical interfaces, i.e. </div></div><div class=CToolTip id="tt1427"><div class=CGeneric>get config only for the specific field names in &lsquo;field_array&rsquo;. </div></div><div class=CToolTip id="tt1428"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>int unsigned show_max = 1
</td></tr></table></blockquote>Sets the maximum number of messages to send to the messager for miscompares of an object.</div></div><div class=CToolTip id="tt1429"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit show_radix = 1
</td></tr></table></blockquote>Indicates whether the radix string (&lsquo;h, and so on) should be prepended to an integral value when one is printed.</div></div><div class=CToolTip id="tt1430"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit show_root = 0
</td></tr></table></blockquote>This setting indicates whether or not the initial object that is printed (when current depth is 0) prints the full path name. </div></div><div class=CToolTip id="tt1431"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual task shutdown_phase(</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>phase</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_shutdown_phase phase implementation method.</div></div><div class=CToolTip id="tt1432"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function int size ()
</td></tr></table></blockquote>Gets the number of implementation ports connected to this port. </div></div><div class=CToolTip id="tt1433"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit size = 1
</td></tr></table></blockquote>Controls whether to print a field&rsquo;s size.</div></div><div class=CToolTip id="tt1434"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function int size ()
</td></tr></table></blockquote>Returns the number of items stored in the queue.</div></div><div class=CToolTip id="tt1435"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function int unsigned size()
</td></tr></table></blockquote>The number of entries currently in the FIFO.</div></div><div class=CToolTip id="tt1436"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function int size()
</td></tr></table></blockquote>Returns the capacity of the FIFO-- that is, the number of entries the FIFO is capable of holding. </div></div><div class=CToolTip id="tt1437"><div class=CPort>Exports a single interface that allows a slave to get or peek requests and to put responses. </div></div><div class=CToolTip id="tt1438"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_hdl_path_slice slices[]
</td></tr></table></blockquote>Array of individual slices, stored in most-to-least significant order</div></div><div class=CToolTip id="tt1439"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void sort_by_precedence(</td> <td class=PTypePrefix nowrap>ref uvm_resource_types::</td>
<td class=PType nowrap>rsrc_q_t&nbsp;</td>
<td class=PParameter nowrap>q</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Given a list of resources, obtained for example from lookup_scope, sort the resources in precedence order. </div></div><div class=CToolTip id="tt1440"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function bit spell_check(</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>s</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Invokes the spell checker for a string s. </div></div><div class=CToolTip id="tt1441"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function string sprint (</td> <td class=PType nowrap>uvm_printer&nbsp;</td>
<td class=PParameter nowrap>printer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
The <i>sprint</i> method works just like the print method, except the output is returned in a string rather than displayed.</div></div><div class=CToolTip id="tt1442"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void start (</td> <td class=PType nowrap>uvm_event&nbsp;</td>
<td class=PParameter nowrap>e</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Starts the heartbeat monitor. </div></div><div class=CToolTip id="tt1443"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual task start (</td> <td class=PType nowrap>uvm_sequencer_base&nbsp;</td>
<td class=PParameter nowrap>sequencer,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent_sequence</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>this_priority</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td></td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>call_pre_post</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Executes this sequence, returning when the sequence has completed.</div></div><div class=CToolTip id="tt1444"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual task start_item (</td> <td class=PType nowrap>uvm_sequence_item&nbsp;</td>
<td class=PParameter nowrap>item,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>set_priority</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_sequencer_base&nbsp;</td>
<td class=PParameter nowrap>sequencer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
<i>start_item</i> and finish_item together will initiate operation of a sequence item. </div></div><div class=CToolTip id="tt1445"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void start_of_simulation_phase(</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>phase</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_start_of_simulation_phase phase implementation method.</div></div><div class=CToolTip id="tt1446"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand bit [63:0] start_offset
</td></tr></table></blockquote>The starting offset of the region</div></div><div class=CToolTip id="tt1447"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void start_phase_sequence(</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>phase</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Start the default sequence for this phase, if any. </div></div><div class=CToolTip id="tt1448"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_phase starting_phase
</td></tr></table></blockquote>If non-null, specifies the phase in which this sequence was started. </div></div><div class=CToolTip id="tt1449"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_status_e status
</td></tr></table></blockquote>The result of the transaction: UVM_IS_OK, UVM_HAS_X, UVM_NOT_OK. </div></div><div class=CToolTip id="tt1450"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_status_e status
</td></tr></table></blockquote>The result of the transaction: IS_OK, HAS_X, or ERROR. </div></div><div class=CToolTip id="tt1451"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void stop ()
</td></tr></table></blockquote>Stops the heartbeat monitor. </div></div><div class=CToolTip id="tt1452"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void stop_sequences()
</td></tr></table></blockquote>Tells the sequencer to kill all sequences and child sequences currently operating on the sequencer, and remove all requests, locks and responses that are currently queued. </div></div><div class=CToolTip id="tt1453"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void stop_sequences()
</td></tr></table></blockquote>Tells the sequencer to kill all sequences and child sequences currently operating on the sequencer, and remove all requests, locks and responses that are currently queued. </div></div><div class=CToolTip id="tt1454"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void stop_stimulus_generation
</td></tr></table></blockquote>Stops the generation of stimulus. </div></div><div class=CToolTip id="tt1455"><div class=CConstant>The sequence has been forcibly ended by issuing a uvm_sequence_base::kill() on the sequence.</div></div><div class=CToolTip id="tt1456"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void summarize(</td> <td class=PType nowrap>UVM_FILE&nbsp;</td>
<td class=PParameter nowrap>file</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
See uvm_report_object::report_summarize method.</div></div><div class=CToolTip id="tt1457"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>static function void summarize_report_catcher(</td> <td class=PType nowrap>UVM_FILE&nbsp;</td>
<td class=PParameter nowrap>file</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
This function is called automatically by uvm_report_server::summarize(). </div></div><div class=CToolTip id="tt1458"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit supports_byte_enable
</td></tr></table></blockquote>Set this bit in extensions of this class if the bus protocol supports byte enables.</div></div><div class=CToolTip id="tt1459"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual task suspend ()
</td></tr></table></blockquote>Suspend this component.</div></div><div class=CToolTip id="tt1460"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function void sync(</td> <td class=PType nowrap>uvm_domain&nbsp;</td>
<td class=PParameter nowrap>target,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td></td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>phase</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>with_phase</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Synchronize two domains, fully or partially</div></div><div class=CToolTip id="tt1461"><div class=CMethod>Add soft sync relationships between nodes</div></div><!--END_ND_TOOLTIPS-->
<!--START_ND_TOOLTIPS-->
<div class=CToolTip id="tt1462"><div class=CParameter>This type parameter specifies the base object type with which the CB callback objects will be registered. </div></div><div class=CToolTip id="tt1463"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>T1 first
</td></tr></table></blockquote>The first value in the pair</div></div><div class=CToolTip id="tt1464"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>T1 first
</td></tr></table></blockquote>The handle to the first object in the pair</div></div><div class=CToolTip id="tt1465"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>T2 second
</td></tr></table></blockquote>The second value in the pair</div></div><div class=CToolTip id="tt1466"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>T2 second
</td></tr></table></blockquote>The handle to the second object in the pair</div></div><div class=CToolTip id="tt1467"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit [63:0] tests = UVM_DO_ALL_REG_MEM_TESTS
</td></tr></table></blockquote>The pre-defined test sequences to be executed.</div></div><div class=CToolTip id="tt1468"><div class=CSection>This section defines built-in TLM channel classes.</div></div><div class=CToolTip id="tt1469"><div class=CSection>The following classes define the TLM export classes.</div></div><div class=CToolTip id="tt1470"><div class=CSection>This section defines TLM-based FIFO classes.</div></div><div class=CToolTip id="tt1471"><div class=CSection>The Generic Payload transaction represents a generic bus read/write access. </div></div><div class=CToolTip id="tt1472"><div class=CGroup>Base class type to define the transport functions.</div></div><div class=CToolTip id="tt1473"><div class=CSection>The TLM implemenation declaration macros provide a way for components to provide multiple implemenation ports of the same implementation interface. </div></div><div class=CToolTip id="tt1474"><div class=CSection>The UVM TLM library defines several abstract, transaction-level interfaces and the ports and exports that facilitate their use. </div></div><div class=CToolTip id="tt1475"><div class=CSection>The following classes define the TLM port classes.</div></div><div class=CToolTip id="tt1476"><div class=CSection>A collection of base classes, one for each socket type. </div></div><div class=CToolTip id="tt1477"><div class=CSection>Each uvm_tlm_*_socket class is derived from a corresponding uvm_tlm_*_socket_base class. </div></div><div class=CToolTip id="tt1478"><div class=CGroup>Each of the interface methods take a handle to the transaction to be transported and a reference argument for the delay. </div></div><div class=CToolTip id="tt1479"><div class=CGeneric>The TLM1 ports provide blocking and nonblocking pass-by-value transaction-level interfaces. </div></div><div class=CToolTip id="tt1480"><div class=CSection>Each TLM1 interface is either blocking, non-blocking, or a combination of these two.</div></div><div class=CToolTip id="tt1481"><div class=CGeneric>The TLM2 sockets provide blocking and nonblocking transaction-level interfaces with well-defined completion semantics.</div></div><div class=CToolTip id="tt1482"><div class=CSection>This section defines the export classes for connecting TLM2 interfaces.</div></div><div class=CToolTip id="tt1483"><div class=CSection>This section defines the implementation classes for connecting TLM2 interfaces.</div></div><div class=CToolTip id="tt1484"><div class=CSection>Sockets group together all the necessary core interfaces for transportation and binding, allowing more generic usage models than just TLM core interfaces.</div></div><div class=CToolTip id="tt1485"><div class=CSection>The following defines TLM2 port classes.</div></div><div class=CToolTip id="tt1486"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_component top_levels[$]
</td></tr></table></blockquote>This variable is a list of all of the top level components in UVM. </div></div><div class=CToolTip id="tt1487"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>integer tr_handle = 0
</td></tr></table></blockquote>This is an integral handle to a transaction object. </div></div><div class=CToolTip id="tt1488"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>function bit trace_mode (</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>mode</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>-1</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Set or get the trace mode for the objection object. </div></div><div class=CToolTip id="tt1489"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual task transport(</td> <td class=PTypePrefix nowrap>input T1&nbsp;</td>
<td class=PType nowrap>req&nbsp;</td>
<td class=PParameter nowrap>,</td>
</tr><tr><td></td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>T2&nbsp;</td>
<td class=PParameter nowrap>rsp</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Executes the given request and returns the response in the given output argument. </div></div><div class=CToolTip id="tt1490"><div class=CGeneric>The <i>transport</i> interface sends a request transaction and returns a response transaction in a single task call, thereby enforcing an in-order execution semantic. </div></div><div class=CToolTip id="tt1491"><div class=CPort>The put_export provides both the blocking and non-blocking transport interface methods to the response FIFO:</div></div><div class=CToolTip id="tt1492"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void traverse(</td> <td class=PType nowrap>uvm_component&nbsp;</td>
<td class=PParameter nowrap>comp,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>phase,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_phase_state&nbsp;</td>
<td class=PParameter nowrap>state</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Traverses the component tree in bottom-up order, calling execute for each component.</div></div><div class=CToolTip id="tt1493"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void traverse(</td> <td class=PType nowrap>uvm_component&nbsp;</td>
<td class=PParameter nowrap>comp,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>phase,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_phase_state&nbsp;</td>
<td class=PParameter nowrap>state</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Traverses the component tree in bottom-up order, calling execute for each component. </div></div><div class=CToolTip id="tt1494"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void traverse(</td> <td class=PType nowrap>uvm_component&nbsp;</td>
<td class=PParameter nowrap>comp,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>phase,</td>
</tr><tr><td></td> <td class=PType nowrap>uvm_phase_state&nbsp;</td>
<td class=PParameter nowrap>state</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Traverses the component tree in top-down order, calling execute for each component.</div></div><div class=CToolTip id="tt1495"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function void trigger (</td> <td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>data</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Triggers the event, resuming all waiting processes.</div></div><div class=CToolTip id="tt1496"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function bit try_get(</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>T2&nbsp;</td>
<td class=PParameter nowrap>t</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Provides a new transaction of type T.</div></div><div class=CToolTip id="tt1497"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual task try_next_item(</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>T1&nbsp;</td>
<td class=PParameter nowrap>t</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Retrieves the next available item from a sequence if one is available. </div></div><div class=CToolTip id="tt1498"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function bit try_peek(</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>T2&nbsp;</td>
<td class=PParameter nowrap>t</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Provides a new transaction without consuming it.</div></div><div class=CToolTip id="tt1499"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters nowrap>virtual function bit try_put(</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>T1&nbsp;</td>
<td class=PParameter nowrap>t</td>
<td class=PAfterParameters nowrap>)</td></tr>
</table></td></tr>
</table></blockquote>
Sends a transaction of type T, if possible.</div></div><div class=CToolTip id="tt1500"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static function void turn_off_auditing()
</td></tr></table></blockquote>Turn auditing off for the resource database. </div></div><div class=CToolTip id="tt1501"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static function void turn_off_tracing()
</td></tr></table></blockquote>Turn tracing off for the configuration database.</div></div><div class=CToolTip id="tt1502"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static function void turn_off_tracing()
</td></tr></table></blockquote>Turn tracing off for the resource database.</div></div><div class=CToolTip id="tt1503"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static function void turn_on_auditing()
</td></tr></table></blockquote>Turn auditing on for the resource database. </div></div><div class=CToolTip id="tt1504"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static function void turn_on_tracing()
</td></tr></table></blockquote>Turn tracing on for the configuration database. </div></div><div class=CToolTip id="tt1505"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static function void turn_on_tracing()
</td></tr></table></blockquote>Turn tracing on for the resource database. </div></div><div class=CToolTip id="tt1506"><div class=CGroup>Resources can be identified by type using a static type handle. </div></div><div class=CToolTip id="tt1507"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit type_name = 1
</td></tr></table></blockquote>Controls whether to print a field&rsquo;s type name.</div></div><!--END_ND_TOOLTIPS-->
</div><!--Index-->
<script language=JavaScript><!--
if (browserType) {if (browserVer) {document.write("</div>"); }document.write("</div>");}// --></script></body></html>