| <!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN" "http://www.w3.org/TR/REC-html40/loose.dtd"> |
| |
| <html><head><title>Class Index</title><link rel="stylesheet" type="text/css" href="../styles/main.css"><script language=JavaScript src="../javascript/main.js"></script></head><body class="FramedIndexPage" onLoad="NDOnLoad()"><script language=JavaScript><!-- |
| if (browserType) {document.write("<div class=" + browserType + ">");if (browserVer) {document.write("<div class=" + browserVer + ">"); }}// --></script> |
| |
| |
| |
| |
| <!-- Generated by Natural Docs, version Development Release 01-12-2008 (1.35 base) --> |
| <!-- http://www.naturaldocs.org --> |
| |
| <!-- saved from url=(0026)http://www.naturaldocs.org --> |
| |
| |
| |
| |
| |
| <div id=Index><div class=IPageTitle>Class Index</div><div class=INavigationBar>$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · <a href="#P">P</a> · Q · R · S · T · <a href="#U">U</a> · V · W · X · Y · Z</div><table border=0 cellspacing=0 cellpadding=0> |
| <tr><td class=IHeading id=IFirstHeading><a name="P"></a>P</td><td></td></tr><tr><td class=ISymbolPrefix id=IOnlySymbolPrefix> </td><td class=IEntry><a href="../files/overviews/phasing-txt.html#Phasing_Implementation" id=link1 onMouseOver="ShowTip(event, 'tt1', 'link1')" onMouseOut="HideTip('tt1')" class=ISymbol>Phasing Implementation</a></td></tr> |
| <tr><td class=IHeading><a name="U"></a>U</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_exports-svh.html#uvm_*_export#(REQ,RSP)" id=link2 onMouseOver="ShowTip(event, 'tt2', 'link2')" onMouseOut="HideTip('tt2')" class=ISymbol>uvm_*_export#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_exports-svh.html#uvm_*_export#(T)" id=link3 onMouseOver="ShowTip(event, 'tt3', 'link3')" onMouseOut="HideTip('tt3')" class=ISymbol>uvm_*_export#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_imps-svh.html#uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)" id=link4 onMouseOver="ShowTip(event, 'tt4', 'link4')" onMouseOut="HideTip('tt4')" class=ISymbol>uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_imps-svh.html#uvm_*_imp#(T,IMP)" id=link5 onMouseOver="ShowTip(event, 'tt5', 'link5')" onMouseOut="HideTip('tt5')" class=ISymbol>uvm_*_imp#(T,IMP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_ports-svh.html#uvm_*_port#(REQ,RSP)" id=link6 onMouseOver="ShowTip(event, 'tt6', 'link6')" onMouseOut="HideTip('tt6')" class=ISymbol>uvm_*_port#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_ports-svh.html#uvm_*_port#(T)" id=link7 onMouseOver="ShowTip(event, 'tt7', 'link7')" onMouseOut="HideTip('tt7')" class=ISymbol>uvm_*_port#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_agent-svh.html#uvm_agent" id=link8 onMouseOver="ShowTip(event, 'tt8', 'link8')" onMouseOut="HideTip('tt8')" class=ISymbol>uvm_agent</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_algorithmic_comparator-svh.html#uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)" id=link9 onMouseOver="ShowTip(event, 'tt9', 'link9')" onMouseOut="HideTip('tt9')" class=ISymbol>uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_analysis_port-svh.html#uvm_analysis_export" id=link10 onMouseOver="ShowTip(event, 'tt10', 'link10')" onMouseOut="HideTip('tt10')" class=ISymbol>uvm_analysis_export</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_analysis_port-svh.html#uvm_analysis_imp" id=link11 onMouseOver="ShowTip(event, 'tt11', 'link11')" onMouseOut="HideTip('tt11')" class=ISymbol>uvm_analysis_imp</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_analysis_port-svh.html#uvm_analysis_port" id=link12 onMouseOver="ShowTip(event, 'tt12', 'link12')" onMouseOut="HideTip('tt12')" class=ISymbol>uvm_analysis_port</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_barrier-svh.html#uvm_barrier" id=link13 onMouseOver="ShowTip(event, 'tt13', 'link13')" onMouseOut="HideTip('tt13')" class=ISymbol>uvm_barrier</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_bottom_up_visitor_adapter" id=link14 onMouseOver="ShowTip(event, 'tt14', 'link14')" onMouseOut="HideTip('tt14')" class=ISymbol>uvm_bottom_up_visitor_adapter</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_bottomup_phase-svh.html#uvm_bottomup_phase" id=link15 onMouseOver="ShowTip(event, 'tt15', 'link15')" onMouseOut="HideTip('tt15')" class=ISymbol>uvm_bottomup_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_build_phase" id=link16 onMouseOver="ShowTip(event, 'tt16', 'link16')" onMouseOut="HideTip('tt16')" class=ISymbol>uvm_build_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_built_in_clone#(T)" id=link17 onMouseOver="ShowTip(event, 'tt17', 'link17')" onMouseOut="HideTip('tt17')" class=ISymbol>uvm_built_in_clone#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_built_in_comp#(T)" id=link18 onMouseOver="ShowTip(event, 'tt18', 'link18')" onMouseOut="HideTip('tt18')" class=ISymbol>uvm_built_in_comp#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_built_in_converter#(T)" id=link19 onMouseOver="ShowTip(event, 'tt19', 'link19')" onMouseOut="HideTip('tt19')" class=ISymbol>uvm_built_in_converter#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_pair-svh.html#uvm_built_in_pair#(T1,T2)" id=link20 onMouseOver="ShowTip(event, 'tt20', 'link20')" onMouseOut="HideTip('tt20')" class=ISymbol>uvm_built_in_pair#(T1,T2)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_by_level_visitor_adapter" id=link21 onMouseOver="ShowTip(event, 'tt21', 'link21')" onMouseOut="HideTip('tt21')" class=ISymbol>uvm_by_level_visitor_adapter</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_callback-svh.html#uvm_callback" id=link22 onMouseOver="ShowTip(event, 'tt22', 'link22')" onMouseOut="HideTip('tt22')" class=ISymbol>uvm_callback</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_callback-svh.html#uvm_callback_iter" id=link23 onMouseOver="ShowTip(event, 'tt23', 'link23')" onMouseOut="HideTip('tt23')" class=ISymbol>uvm_callback_iter</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_callback-svh.html#uvm_callbacks#(T,CB)" id=link24 onMouseOver="ShowTip(event, 'tt24', 'link24')" onMouseOut="HideTip('tt24')" class=ISymbol>uvm_callbacks#(T,CB)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_links-svh.html#uvm_cause_effect_link" id=link25 onMouseOver="ShowTip(event, 'tt25', 'link25')" onMouseOut="HideTip('tt25')" class=ISymbol>uvm_cause_effect_link</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_check_phase" id=link26 onMouseOver="ShowTip(event, 'tt26', 'link26')" onMouseOut="HideTip('tt26')" class=ISymbol>uvm_check_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_class_clone#(T)" id=link27 onMouseOver="ShowTip(event, 'tt27', 'link27')" onMouseOut="HideTip('tt27')" class=ISymbol>uvm_class_clone#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_class_comp#(T)" id=link28 onMouseOver="ShowTip(event, 'tt28', 'link28')" onMouseOut="HideTip('tt28')" class=ISymbol>uvm_class_comp#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_class_converter#(T)" id=link29 onMouseOver="ShowTip(event, 'tt29', 'link29')" onMouseOut="HideTip('tt29')" class=ISymbol>uvm_class_converter#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_pair-svh.html#uvm_class_pair#(T1,T2)" id=link30 onMouseOver="ShowTip(event, 'tt30', 'link30')" onMouseOut="HideTip('tt30')" class=ISymbol>uvm_class_pair#(T1,T2)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_cmdline_processor-svh.html#uvm_cmdline_processor" id=link31 onMouseOver="ShowTip(event, 'tt31', 'link31')" onMouseOut="HideTip('tt31')" class=ISymbol>uvm_cmdline_processor</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_comparer-svh.html#uvm_comparer" id=link32 onMouseOver="ShowTip(event, 'tt32', 'link32')" onMouseOut="HideTip('tt32')" class=ISymbol>uvm_comparer</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_component-svh.html#uvm_component" id=link33 onMouseOver="ShowTip(event, 'tt33', 'link33')" onMouseOut="HideTip('tt33')" class=ISymbol>uvm_component</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_component_name_check_visitor" id=link34 onMouseOver="ShowTip(event, 'tt34', 'link34')" onMouseOut="HideTip('tt34')" class=ISymbol>uvm_component_name_check_visitor</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_component_proxy" id=link35 onMouseOver="ShowTip(event, 'tt35', 'link35')" onMouseOut="HideTip('tt35')" class=ISymbol>uvm_component_proxy</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_registry-svh.html#uvm_component_registry#(T,Tname)" id=link36 onMouseOver="ShowTip(event, 'tt36', 'link36')" onMouseOut="HideTip('tt36')" class=ISymbol>uvm_component_registry#(T,Tname)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_config_db-svh.html#uvm_config_db" id=link37 onMouseOver="ShowTip(event, 'tt37', 'link37')" onMouseOut="HideTip('tt37')" class=ISymbol>uvm_config_db</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_config_db-svh.html#uvm_config_db_options" id=link38 onMouseOver="ShowTip(event, 'tt38', 'link38')" onMouseOut="HideTip('tt38')" class=ISymbol>uvm_config_db_options</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_configure_phase" id=link39 onMouseOver="ShowTip(event, 'tt39', 'link39')" onMouseOut="HideTip('tt39')" class=ISymbol>uvm_configure_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_connect_phase" id=link40 onMouseOver="ShowTip(event, 'tt40', 'link40')" onMouseOut="HideTip('tt40')" class=ISymbol>uvm_connect_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_coreservice-svh.html#uvm_coreservice_t" id=link41 onMouseOver="ShowTip(event, 'tt41', 'link41')" onMouseOut="HideTip('tt41')" class=ISymbol>uvm_coreservice_t</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_coreservice-svh.html#uvm_default_coreservice_t" id=link42 onMouseOver="ShowTip(event, 'tt42', 'link42')" onMouseOut="HideTip('tt42')" class=ISymbol>uvm_default_coreservice_t</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_factory-svh.html#uvm_default_factory" id=link43 onMouseOver="ShowTip(event, 'tt43', 'link43')" onMouseOut="HideTip('tt43')" class=ISymbol>uvm_default_factory</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server" id=link44 onMouseOver="ShowTip(event, 'tt44', 'link44')" onMouseOut="HideTip('tt44')" class=ISymbol>uvm_default_report_server</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_domain-svh.html#uvm_domain" id=link45 onMouseOver="ShowTip(event, 'tt45', 'link45')" onMouseOut="HideTip('tt45')" class=ISymbol>uvm_domain</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_driver-svh.html#uvm_driver#(REQ,RSP)" id=link46 onMouseOver="ShowTip(event, 'tt46', 'link46')" onMouseOut="HideTip('tt46')" class=ISymbol>uvm_driver#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_end_of_elaboration_phase" id=link47 onMouseOver="ShowTip(event, 'tt47', 'link47')" onMouseOut="HideTip('tt47')" class=ISymbol>uvm_end_of_elaboration_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_globals-svh.html#uvm_enum_wrapper#(T)" id=link48 onMouseOver="ShowTip(event, 'tt48', 'link48')" onMouseOut="HideTip('tt48')" class=ISymbol>uvm_enum_wrapper#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_env-svh.html#uvm_env" id=link49 onMouseOver="ShowTip(event, 'tt49', 'link49')" onMouseOut="HideTip('tt49')" class=ISymbol>uvm_env</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_event-svh.html#uvm_event#(T)" id=link50 onMouseOver="ShowTip(event, 'tt50', 'link50')" onMouseOut="HideTip('tt50')" class=ISymbol>uvm_event#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_event-svh.html#uvm_event_base" id=link51 onMouseOver="ShowTip(event, 'tt51', 'link51')" onMouseOut="HideTip('tt51')" class=ISymbol>uvm_event_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_event_callback-svh.html#uvm_event_callback" id=link52 onMouseOver="ShowTip(event, 'tt52', 'link52')" onMouseOut="HideTip('tt52')" class=ISymbol>uvm_event_callback</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_extract_phase" id=link53 onMouseOver="ShowTip(event, 'tt53', 'link53')" onMouseOut="HideTip('tt53')" class=ISymbol>uvm_extract_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_factory-svh.html#uvm_factory" id=link54 onMouseOver="ShowTip(event, 'tt54', 'link54')" onMouseOut="HideTip('tt54')" class=ISymbol>uvm_factory</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_final_phase" id=link55 onMouseOver="ShowTip(event, 'tt55', 'link55')" onMouseOut="HideTip('tt55')" class=ISymbol>uvm_final_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/dap/uvm_get_to_lock_dap-svh.html#uvm_get_to_lock_dap" id=link56 onMouseOver="ShowTip(event, 'tt56', 'link56')" onMouseOut="HideTip('tt56')" class=ISymbol>uvm_get_to_lock_dap</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_hdl_path_concat" id=link57 onMouseOver="ShowTip(event, 'tt57', 'link57')" onMouseOut="HideTip('tt57')" class=ISymbol>uvm_hdl_path_concat</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_heartbeat-svh.html#uvm_heartbeat" id=link58 onMouseOver="ShowTip(event, 'tt58', 'link58')" onMouseOut="HideTip('tt58')" class=ISymbol>uvm_heartbeat</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_in_order_comparator-svh.html#uvm_in_order_built_in_comparator#(T)" id=link59 onMouseOver="ShowTip(event, 'tt59', 'link59')" onMouseOut="HideTip('tt59')" class=ISymbol>uvm_in_order_built_in_comparator#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_in_order_comparator-svh.html#uvm_in_order_class_comparator#(T)" id=link60 onMouseOver="ShowTip(event, 'tt60', 'link60')" onMouseOut="HideTip('tt60')" class=ISymbol>uvm_in_order_class_comparator#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_in_order_comparator-svh.html#uvm_in_order_comparator#(T,comp_type,convert,pair_type)" id=link61 onMouseOver="ShowTip(event, 'tt61', 'link61')" onMouseOut="HideTip('tt61')" class=ISymbol>uvm_in_order_comparator#(T,comp_type,convert,pair_type)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_printer-svh.html#uvm_line_printer" id=link62 onMouseOver="ShowTip(event, 'tt62', 'link62')" onMouseOut="HideTip('tt62')" class=ISymbol>uvm_line_printer</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_links-svh.html#uvm_link_base" id=link63 onMouseOver="ShowTip(event, 'tt63', 'link63')" onMouseOut="HideTip('tt63')" class=ISymbol>uvm_link_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_main_phase" id=link64 onMouseOver="ShowTip(event, 'tt64', 'link64')" onMouseOut="HideTip('tt64')" class=ISymbol>uvm_main_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_mem-svh.html#uvm_mem" id=link65 onMouseOver="ShowTip(event, 'tt65', 'link65')" onMouseOut="HideTip('tt65')" class=ISymbol>uvm_mem</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_mem_access_seq-svh.html#uvm_mem_access_seq" id=link66 onMouseOver="ShowTip(event, 'tt66', 'link66')" onMouseOut="HideTip('tt66')" class=ISymbol>uvm_mem_access_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam" id=link67 onMouseOver="ShowTip(event, 'tt67', 'link67')" onMouseOut="HideTip('tt67')" class=ISymbol>uvm_mem_mam</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_cfg" id=link68 onMouseOver="ShowTip(event, 'tt68', 'link68')" onMouseOut="HideTip('tt68')" class=ISymbol>uvm_mem_mam_cfg</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_policy" id=link69 onMouseOver="ShowTip(event, 'tt69', 'link69')" onMouseOut="HideTip('tt69')" class=ISymbol>uvm_mem_mam_policy</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_region" id=link70 onMouseOver="ShowTip(event, 'tt70', 'link70')" onMouseOut="HideTip('tt70')" class=ISymbol>uvm_mem_region</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_mem_shared_access_seq" id=link71 onMouseOver="ShowTip(event, 'tt71', 'link71')" onMouseOut="HideTip('tt71')" class=ISymbol>uvm_mem_shared_access_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_mem_access_seq-svh.html#uvm_mem_single_access_seq" id=link72 onMouseOver="ShowTip(event, 'tt72', 'link72')" onMouseOut="HideTip('tt72')" class=ISymbol>uvm_mem_single_access_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#uvm_mem_single_walk_seq" id=link73 onMouseOver="ShowTip(event, 'tt73', 'link73')" onMouseOut="HideTip('tt73')" class=ISymbol>uvm_mem_single_walk_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#uvm_mem_walk_seq" id=link74 onMouseOver="ShowTip(event, 'tt74', 'link74')" onMouseOut="HideTip('tt74')" class=ISymbol>uvm_mem_walk_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_monitor-svh.html#uvm_monitor" id=link75 onMouseOver="ShowTip(event, 'tt75', 'link75')" onMouseOut="HideTip('tt75')" class=ISymbol>uvm_monitor</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_object-svh.html#uvm_object" id=link76 onMouseOver="ShowTip(event, 'tt76', 'link76')" onMouseOut="HideTip('tt76')" class=ISymbol>uvm_object</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_registry-svh.html#uvm_object_registry#(T,Tname)" id=link77 onMouseOver="ShowTip(event, 'tt77', 'link77')" onMouseOut="HideTip('tt77')" class=ISymbol>uvm_object_registry#(T,Tname)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_pool-svh.html#uvm_object_string_pool#(T)" id=link78 onMouseOver="ShowTip(event, 'tt78', 'link78')" onMouseOut="HideTip('tt78')" class=ISymbol>uvm_object_string_pool#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_factory-svh.html#uvm_object_wrapper" id=link79 onMouseOver="ShowTip(event, 'tt79', 'link79')" onMouseOut="HideTip('tt79')" class=ISymbol>uvm_object_wrapper</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_objection-svh.html#uvm_objection" id=link80 onMouseOver="ShowTip(event, 'tt80', 'link80')" onMouseOut="HideTip('tt80')" class=ISymbol>uvm_objection</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_objection-svh.html#uvm_objection_callback" id=link81 onMouseOver="ShowTip(event, 'tt81', 'link81')" onMouseOut="HideTip('tt81')" class=ISymbol>uvm_objection_callback</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_packer-svh.html#uvm_packer" id=link82 onMouseOver="ShowTip(event, 'tt82', 'link82')" onMouseOut="HideTip('tt82')" class=ISymbol>uvm_packer</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_links-svh.html#uvm_parent_child_link" id=link83 onMouseOver="ShowTip(event, 'tt83', 'link83')" onMouseOut="HideTip('tt83')" class=ISymbol>uvm_parent_child_link</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_phase-svh.html#uvm_phase" id=link84 onMouseOver="ShowTip(event, 'tt84', 'link84')" onMouseOut="HideTip('tt84')" class=ISymbol>uvm_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_phase-svh.html#uvm_phase_cb" id=link85 onMouseOver="ShowTip(event, 'tt85', 'link85')" onMouseOut="HideTip('tt85')" class=ISymbol>uvm_phase_cb</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_phase-svh.html#uvm_phase_cb_pool" id=link86 onMouseOver="ShowTip(event, 'tt86', 'link86')" onMouseOut="HideTip('tt86')" class=ISymbol>uvm_phase_cb_pool</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_phase-svh.html#uvm_phase_state_change" id=link87 onMouseOver="ShowTip(event, 'tt87', 'link87')" onMouseOut="HideTip('tt87')" class=ISymbol>uvm_phase_state_change</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_pool-svh.html#uvm_pool#(KEY,T)" id=link88 onMouseOver="ShowTip(event, 'tt88', 'link88')" onMouseOut="HideTip('tt88')" class=ISymbol>uvm_pool#(KEY,T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF)" id=link89 onMouseOver="ShowTip(event, 'tt89', 'link89')" onMouseOut="HideTip('tt89')" class=ISymbol>uvm_port_base#(IF)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_port_base-svh.html#uvm_port_component#(PORT)" id=link90 onMouseOver="ShowTip(event, 'tt90', 'link90')" onMouseOut="HideTip('tt90')" class=ISymbol>uvm_port_component#(PORT)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_port_base-svh.html#uvm_port_component_base" id=link91 onMouseOver="ShowTip(event, 'tt91', 'link91')" onMouseOut="HideTip('tt91')" class=ISymbol>uvm_port_component_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_configure_phase" id=link92 onMouseOver="ShowTip(event, 'tt92', 'link92')" onMouseOut="HideTip('tt92')" class=ISymbol>uvm_post_configure_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_main_phase" id=link93 onMouseOver="ShowTip(event, 'tt93', 'link93')" onMouseOut="HideTip('tt93')" class=ISymbol>uvm_post_main_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_reset_phase" id=link94 onMouseOver="ShowTip(event, 'tt94', 'link94')" onMouseOut="HideTip('tt94')" class=ISymbol>uvm_post_reset_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_shutdown_phase" id=link95 onMouseOver="ShowTip(event, 'tt95', 'link95')" onMouseOut="HideTip('tt95')" class=ISymbol>uvm_post_shutdown_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_configure_phase" id=link96 onMouseOver="ShowTip(event, 'tt96', 'link96')" onMouseOut="HideTip('tt96')" class=ISymbol>uvm_pre_configure_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_main_phase" id=link97 onMouseOver="ShowTip(event, 'tt97', 'link97')" onMouseOut="HideTip('tt97')" class=ISymbol>uvm_pre_main_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_reset_phase" id=link98 onMouseOver="ShowTip(event, 'tt98', 'link98')" onMouseOut="HideTip('tt98')" class=ISymbol>uvm_pre_reset_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_shutdown_phase" id=link99 onMouseOver="ShowTip(event, 'tt99', 'link99')" onMouseOut="HideTip('tt99')" class=ISymbol>uvm_pre_shutdown_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_printer-svh.html#uvm_printer" id=link100 onMouseOver="ShowTip(event, 'tt100', 'link100')" onMouseOut="HideTip('tt100')" class=ISymbol>uvm_printer</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs" id=link101 onMouseOver="ShowTip(event, 'tt101', 'link101')" onMouseOut="HideTip('tt101')" class=ISymbol>uvm_printer_knobs</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_push_driver-svh.html#uvm_push_driver#(REQ,RSP)" id=link102 onMouseOver="ShowTip(event, 'tt102', 'link102')" onMouseOut="HideTip('tt102')" class=ISymbol>uvm_push_driver#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/seq/uvm_push_sequencer-svh.html#uvm_push_sequencer#(REQ,RSP)" id=link103 onMouseOver="ShowTip(event, 'tt103', 'link103')" onMouseOut="HideTip('tt103')" class=ISymbol>uvm_push_sequencer#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_queue-svh.html#uvm_queue#(T)" id=link104 onMouseOver="ShowTip(event, 'tt104', 'link104')" onMouseOut="HideTip('tt104')" class=ISymbol>uvm_queue#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_random_stimulus-svh.html#uvm_random_stimulus#(T)" id=link105 onMouseOver="ShowTip(event, 'tt105', 'link105')" onMouseOut="HideTip('tt105')" class=ISymbol>uvm_random_stimulus#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_recorder-svh.html#uvm_recorder" id=link106 onMouseOver="ShowTip(event, 'tt106', 'link106')" onMouseOut="HideTip('tt106')" class=ISymbol>uvm_recorder</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg-svh.html#uvm_reg" id=link107 onMouseOver="ShowTip(event, 'tt107', 'link107')" onMouseOut="HideTip('tt107')" class=ISymbol>uvm_reg</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#uvm_reg_access_seq" id=link108 onMouseOver="ShowTip(event, 'tt108', 'link108')" onMouseOut="HideTip('tt108')" class=ISymbol>uvm_reg_access_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_adapter-svh.html#uvm_reg_adapter" id=link109 onMouseOver="ShowTip(event, 'tt109', 'link109')" onMouseOut="HideTip('tt109')" class=ISymbol>uvm_reg_adapter</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_backdoor-svh.html#uvm_reg_backdoor" id=link110 onMouseOver="ShowTip(event, 'tt110', 'link110')" onMouseOut="HideTip('tt110')" class=ISymbol>uvm_reg_backdoor</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_bit_bash_seq-svh.html#uvm_reg_bit_bash_seq" id=link111 onMouseOver="ShowTip(event, 'tt111', 'link111')" onMouseOut="HideTip('tt111')" class=ISymbol>uvm_reg_bit_bash_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block" id=link112 onMouseOver="ShowTip(event, 'tt112', 'link112')" onMouseOut="HideTip('tt112')" class=ISymbol>uvm_reg_block</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_bus_op" id=link113 onMouseOver="ShowTip(event, 'tt113', 'link113')" onMouseOut="HideTip('tt113')" class=ISymbol>uvm_reg_bus_op</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_cbs" id=link114 onMouseOver="ShowTip(event, 'tt114', 'link114')" onMouseOut="HideTip('tt114')" class=ISymbol>uvm_reg_cbs</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field" id=link115 onMouseOver="ShowTip(event, 'tt115', 'link115')" onMouseOut="HideTip('tt115')" class=ISymbol>uvm_reg_field</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo" id=link116 onMouseOver="ShowTip(event, 'tt116', 'link116')" onMouseOut="HideTip('tt116')" class=ISymbol>uvm_reg_fifo</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_file-svh.html#uvm_reg_file" id=link117 onMouseOver="ShowTip(event, 'tt117', 'link117')" onMouseOut="HideTip('tt117')" class=ISymbol>uvm_reg_file</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_frontdoor" id=link118 onMouseOver="ShowTip(event, 'tt118', 'link118')" onMouseOut="HideTip('tt118')" class=ISymbol>uvm_reg_frontdoor</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_hw_reset_seq-svh.html#uvm_reg_hw_reset_seq" id=link119 onMouseOver="ShowTip(event, 'tt119', 'link119')" onMouseOut="HideTip('tt119')" class=ISymbol>uvm_reg_hw_reset_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_indirect-svh.html#uvm_reg_indirect_data" id=link120 onMouseOver="ShowTip(event, 'tt120', 'link120')" onMouseOut="HideTip('tt120')" class=ISymbol>uvm_reg_indirect_data</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item" id=link121 onMouseOver="ShowTip(event, 'tt121', 'link121')" onMouseOut="HideTip('tt121')" class=ISymbol>uvm_reg_item</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_map" id=link122 onMouseOver="ShowTip(event, 'tt122', 'link122')" onMouseOut="HideTip('tt122')" class=ISymbol>uvm_reg_map</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#uvm_reg_mem_access_seq" id=link123 onMouseOver="ShowTip(event, 'tt123', 'link123')" onMouseOut="HideTip('tt123')" class=ISymbol>uvm_reg_mem_access_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_built_in_seq-svh.html#uvm_reg_mem_built_in_seq" id=link124 onMouseOver="ShowTip(event, 'tt124', 'link124')" onMouseOut="HideTip('tt124')" class=ISymbol>uvm_reg_mem_built_in_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_hdl_paths_seq-svh.html#uvm_reg_mem_hdl_paths_seq" id=link125 onMouseOver="ShowTip(event, 'tt125', 'link125')" onMouseOut="HideTip('tt125')" class=ISymbol>uvm_reg_mem_hdl_paths_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_reg_mem_shared_access_seq" id=link126 onMouseOver="ShowTip(event, 'tt126', 'link126')" onMouseOut="HideTip('tt126')" class=ISymbol>uvm_reg_mem_shared_access_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_predictor-svh.html#uvm_reg_predictor" id=link127 onMouseOver="ShowTip(event, 'tt127', 'link127')" onMouseOut="HideTip('tt127')" class=ISymbol>uvm_reg_predictor</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_read_only_cbs" id=link128 onMouseOver="ShowTip(event, 'tt128', 'link128')" onMouseOut="HideTip('tt128')" class=ISymbol>uvm_reg_read_only_cbs</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence" id=link129 onMouseOver="ShowTip(event, 'tt129', 'link129')" onMouseOut="HideTip('tt129')" class=ISymbol>uvm_reg_sequence</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_reg_shared_access_seq" id=link130 onMouseOver="ShowTip(event, 'tt130', 'link130')" onMouseOut="HideTip('tt130')" class=ISymbol>uvm_reg_shared_access_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#uvm_reg_single_access_seq" id=link131 onMouseOver="ShowTip(event, 'tt131', 'link131')" onMouseOut="HideTip('tt131')" class=ISymbol>uvm_reg_single_access_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_bit_bash_seq-svh.html#uvm_reg_single_bit_bash_seq" id=link132 onMouseOver="ShowTip(event, 'tt132', 'link132')" onMouseOut="HideTip('tt132')" class=ISymbol>uvm_reg_single_bit_bash_seq</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_adapter-svh.html#uvm_reg_tlm_adapter" id=link133 onMouseOver="ShowTip(event, 'tt133', 'link133')" onMouseOut="HideTip('tt133')" class=ISymbol>uvm_reg_tlm_adapter</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_transaction_order_policy" id=link134 onMouseOver="ShowTip(event, 'tt134', 'link134')" onMouseOut="HideTip('tt134')" class=ISymbol>uvm_reg_transaction_order_policy</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_write_only_cbs" id=link135 onMouseOver="ShowTip(event, 'tt135', 'link135')" onMouseOut="HideTip('tt135')" class=ISymbol>uvm_reg_write_only_cbs</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_links-svh.html#uvm_related_link" id=link136 onMouseOver="ShowTip(event, 'tt136', 'link136')" onMouseOut="HideTip('tt136')" class=ISymbol>uvm_related_link</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher" id=link137 onMouseOver="ShowTip(event, 'tt137', 'link137')" onMouseOut="HideTip('tt137')" class=ISymbol>uvm_report_catcher</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_report_handler-svh.html#uvm_report_handler" id=link138 onMouseOver="ShowTip(event, 'tt138', 'link138')" onMouseOut="HideTip('tt138')" class=ISymbol>uvm_report_handler</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message" id=link139 onMouseOver="ShowTip(event, 'tt139', 'link139')" onMouseOut="HideTip('tt139')" class=ISymbol>uvm_report_message</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_element_base" id=link140 onMouseOver="ShowTip(event, 'tt140', 'link140')" onMouseOut="HideTip('tt140')" class=ISymbol>uvm_report_message_element_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_element_container" id=link141 onMouseOver="ShowTip(event, 'tt141', 'link141')" onMouseOut="HideTip('tt141')" class=ISymbol>uvm_report_message_element_container</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_int_element" id=link142 onMouseOver="ShowTip(event, 'tt142', 'link142')" onMouseOut="HideTip('tt142')" class=ISymbol>uvm_report_message_int_element</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_object_element" id=link143 onMouseOver="ShowTip(event, 'tt143', 'link143')" onMouseOut="HideTip('tt143')" class=ISymbol>uvm_report_message_object_element</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_string_element" id=link144 onMouseOver="ShowTip(event, 'tt144', 'link144')" onMouseOut="HideTip('tt144')" class=ISymbol>uvm_report_message_string_element</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_report_object-svh.html#uvm_report_object" id=link145 onMouseOver="ShowTip(event, 'tt145', 'link145')" onMouseOut="HideTip('tt145')" class=ISymbol>uvm_report_object</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_report_phase" id=link146 onMouseOver="ShowTip(event, 'tt146', 'link146')" onMouseOut="HideTip('tt146')" class=ISymbol>uvm_report_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_report_server-svh.html#uvm_report_server" id=link147 onMouseOver="ShowTip(event, 'tt147', 'link147')" onMouseOut="HideTip('tt147')" class=ISymbol>uvm_report_server</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_reset_phase" id=link148 onMouseOver="ShowTip(event, 'tt148', 'link148')" onMouseOut="HideTip('tt148')" class=ISymbol>uvm_reset_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_resource-svh.html#uvm_resource#(T)" id=link149 onMouseOver="ShowTip(event, 'tt149', 'link149')" onMouseOut="HideTip('tt149')" class=ISymbol>uvm_resource#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_resource-svh.html#uvm_resource_base" id=link150 onMouseOver="ShowTip(event, 'tt150', 'link150')" onMouseOut="HideTip('tt150')" class=ISymbol>uvm_resource_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db" id=link151 onMouseOver="ShowTip(event, 'tt151', 'link151')" onMouseOut="HideTip('tt151')" class=ISymbol>uvm_resource_db</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db_options" id=link152 onMouseOver="ShowTip(event, 'tt152', 'link152')" onMouseOut="HideTip('tt152')" class=ISymbol>uvm_resource_db_options</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_resource-svh.html#uvm_resource_options" id=link153 onMouseOver="ShowTip(event, 'tt153', 'link153')" onMouseOut="HideTip('tt153')" class=ISymbol>uvm_resource_options</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool" id=link154 onMouseOver="ShowTip(event, 'tt154', 'link154')" onMouseOut="HideTip('tt154')" class=ISymbol>uvm_resource_pool</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_resource-svh.html#uvm_resource_types" id=link155 onMouseOver="ShowTip(event, 'tt155', 'link155')" onMouseOut="HideTip('tt155')" class=ISymbol>uvm_resource_types</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_root-svh.html#uvm_root" id=link156 onMouseOver="ShowTip(event, 'tt156', 'link156')" onMouseOut="HideTip('tt156')" class=ISymbol>uvm_root</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_run_phase" id=link157 onMouseOver="ShowTip(event, 'tt157', 'link157')" onMouseOut="HideTip('tt157')" class=ISymbol>uvm_run_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_scoreboard-svh.html#uvm_scoreboard" id=link158 onMouseOver="ShowTip(event, 'tt158', 'link158')" onMouseOut="HideTip('tt158')" class=ISymbol>uvm_scoreboard</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_sqr_connections-svh.html#uvm_seq_item_pull_export#(REQ,RSP)" id=link159 onMouseOver="ShowTip(event, 'tt159', 'link159')" onMouseOut="HideTip('tt159')" class=ISymbol>uvm_seq_item_pull_export#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_sqr_connections-svh.html#uvm_seq_item_pull_imp#(REQ,RSP,IMP)" id=link160 onMouseOver="ShowTip(event, 'tt160', 'link160')" onMouseOut="HideTip('tt160')" class=ISymbol>uvm_seq_item_pull_imp#(REQ,RSP,IMP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_sqr_connections-svh.html#uvm_seq_item_pull_port#(REQ,RSP)" id=link161 onMouseOver="ShowTip(event, 'tt161', 'link161')" onMouseOut="HideTip('tt161')" class=ISymbol>uvm_seq_item_pull_port#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/seq/uvm_sequence-svh.html#uvm_sequence#(REQ,RSP)" id=link162 onMouseOver="ShowTip(event, 'tt162', 'link162')" onMouseOut="HideTip('tt162')" class=ISymbol>uvm_sequence#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base" id=link163 onMouseOver="ShowTip(event, 'tt163', 'link163')" onMouseOut="HideTip('tt163')" class=ISymbol>uvm_sequence_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item" id=link164 onMouseOver="ShowTip(event, 'tt164', 'link164')" onMouseOut="HideTip('tt164')" class=ISymbol>uvm_sequence_item</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/seq/uvm_sequence_library-svh.html#uvm_sequence_library" id=link165 onMouseOver="ShowTip(event, 'tt165', 'link165')" onMouseOut="HideTip('tt165')" class=ISymbol>uvm_sequence_library</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/seq/uvm_sequence_library-svh.html#uvm_sequence_library_cfg" id=link166 onMouseOver="ShowTip(event, 'tt166', 'link166')" onMouseOut="HideTip('tt166')" class=ISymbol>uvm_sequence_library_cfg</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/seq/uvm_sequencer-svh.html#uvm_sequencer#(REQ,RSP)" id=link167 onMouseOver="ShowTip(event, 'tt167', 'link167')" onMouseOut="HideTip('tt167')" class=ISymbol>uvm_sequencer#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base" id=link168 onMouseOver="ShowTip(event, 'tt168', 'link168')" onMouseOut="HideTip('tt168')" class=ISymbol>uvm_sequencer_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP)" id=link169 onMouseOver="ShowTip(event, 'tt169', 'link169')" onMouseOut="HideTip('tt169')" class=ISymbol>uvm_sequencer_param_base#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/dap/uvm_set_before_get_dap-svh.html#uvm_set_before_get_dap" id=link170 onMouseOver="ShowTip(event, 'tt170', 'link170')" onMouseOut="HideTip('tt170')" class=ISymbol>uvm_set_before_get_dap</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/dap/uvm_set_get_dap_base-svh.html#uvm_set_get_dap_base" id=link171 onMouseOver="ShowTip(event, 'tt171', 'link171')" onMouseOut="HideTip('tt171')" class=ISymbol>uvm_set_get_dap_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_shutdown_phase" id=link172 onMouseOver="ShowTip(event, 'tt172', 'link172')" onMouseOut="HideTip('tt172')" class=ISymbol>uvm_shutdown_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/dap/uvm_simple_lock_dap-svh.html#uvm_simple_lock_dap" id=link173 onMouseOver="ShowTip(event, 'tt173', 'link173')" onMouseOut="HideTip('tt173')" class=ISymbol>uvm_simple_lock_dap</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_sqr_ifs-svh.html#uvm_sqr_if_base#(REQ,RSP)" id=link174 onMouseOver="ShowTip(event, 'tt174', 'link174')" onMouseOut="HideTip('tt174')" class=ISymbol>uvm_sqr_if_base#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_start_of_simulation_phase" id=link175 onMouseOver="ShowTip(event, 'tt175', 'link175')" onMouseOut="HideTip('tt175')" class=ISymbol>uvm_start_of_simulation_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_structure_proxy#(STRUCTURE)" id=link176 onMouseOver="ShowTip(event, 'tt176', 'link176')" onMouseOut="HideTip('tt176')" class=ISymbol>uvm_structure_proxy#(STRUCTURE)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_subscriber-svh.html#uvm_subscriber" id=link177 onMouseOver="ShowTip(event, 'tt177', 'link177')" onMouseOut="HideTip('tt177')" class=ISymbol>uvm_subscriber</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_printer-svh.html#uvm_table_printer" id=link178 onMouseOver="ShowTip(event, 'tt178', 'link178')" onMouseOut="HideTip('tt178')" class=ISymbol>uvm_table_printer</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_task_phase-svh.html#uvm_task_phase" id=link179 onMouseOver="ShowTip(event, 'tt179', 'link179')" onMouseOut="HideTip('tt179')" class=ISymbol>uvm_task_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/comps/uvm_test-svh.html#uvm_test" id=link180 onMouseOver="ShowTip(event, 'tt180', 'link180')" onMouseOut="HideTip('tt180')" class=ISymbol>uvm_test</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_recorder-svh.html#uvm_text_recorder" id=link181 onMouseOver="ShowTip(event, 'tt181', 'link181')" onMouseOut="HideTip('tt181')" class=ISymbol>uvm_text_recorder</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_tr_database-svh.html#uvm_text_tr_database" id=link182 onMouseOver="ShowTip(event, 'tt182', 'link182')" onMouseOut="HideTip('tt182')" class=ISymbol>uvm_text_tr_database</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_tr_stream-svh.html#uvm_text_tr_stream" id=link183 onMouseOver="ShowTip(event, 'tt183', 'link183')" onMouseOut="HideTip('tt183')" class=ISymbol>uvm_text_tr_stream</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_analysis_fifo#(T)" id=link184 onMouseOver="ShowTip(event, 'tt184', 'link184')" onMouseOut="HideTip('tt184')" class=ISymbol>uvm_tlm_analysis_fifo#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_initiator_socket" id=link185 onMouseOver="ShowTip(event, 'tt185', 'link185')" onMouseOut="HideTip('tt185')" class=ISymbol>uvm_tlm_b_initiator_socket</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_b_initiator_socket_base" id=link186 onMouseOver="ShowTip(event, 'tt186', 'link186')" onMouseOut="HideTip('tt186')" class=ISymbol>uvm_tlm_b_initiator_socket_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_passthrough_initiator_socket" id=link187 onMouseOver="ShowTip(event, 'tt187', 'link187')" onMouseOut="HideTip('tt187')" class=ISymbol>uvm_tlm_b_passthrough_initiator_socket</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_b_passthrough_initiator_socket_base" id=link188 onMouseOver="ShowTip(event, 'tt188', 'link188')" onMouseOut="HideTip('tt188')" class=ISymbol>uvm_tlm_b_passthrough_initiator_socket_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_passthrough_target_socket" id=link189 onMouseOver="ShowTip(event, 'tt189', 'link189')" onMouseOut="HideTip('tt189')" class=ISymbol>uvm_tlm_b_passthrough_target_socket</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_b_passthrough_target_socket_base" id=link190 onMouseOver="ShowTip(event, 'tt190', 'link190')" onMouseOut="HideTip('tt190')" class=ISymbol>uvm_tlm_b_passthrough_target_socket_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_target_socket" id=link191 onMouseOver="ShowTip(event, 'tt191', 'link191')" onMouseOut="HideTip('tt191')" class=ISymbol>uvm_tlm_b_target_socket</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_b_target_socket_base" id=link192 onMouseOver="ShowTip(event, 'tt192', 'link192')" onMouseOut="HideTip('tt192')" class=ISymbol>uvm_tlm_b_target_socket_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_exports-svh.html#uvm_tlm_b_transport_export" id=link193 onMouseOver="ShowTip(event, 'tt193', 'link193')" onMouseOut="HideTip('tt193')" class=ISymbol>uvm_tlm_b_transport_export</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_imps-svh.html#uvm_tlm_b_transport_imp" id=link194 onMouseOver="ShowTip(event, 'tt194', 'link194')" onMouseOut="HideTip('tt194')" class=ISymbol>uvm_tlm_b_transport_imp</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ports-svh.html#uvm_tlm_b_transport_port" id=link195 onMouseOver="ShowTip(event, 'tt195', 'link195')" onMouseOut="HideTip('tt195')" class=ISymbol>uvm_tlm_b_transport_port</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_extension" id=link196 onMouseOver="ShowTip(event, 'tt196', 'link196')" onMouseOut="HideTip('tt196')" class=ISymbol>uvm_tlm_extension</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_extension_base" id=link197 onMouseOver="ShowTip(event, 'tt197', 'link197')" onMouseOut="HideTip('tt197')" class=ISymbol>uvm_tlm_extension_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_fifo#(T)" id=link198 onMouseOver="ShowTip(event, 'tt198', 'link198')" onMouseOut="HideTip('tt198')" class=ISymbol>uvm_tlm_fifo#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_tlm_fifo_base-svh.html#uvm_tlm_fifo_base#(T)" id=link199 onMouseOver="ShowTip(event, 'tt199', 'link199')" onMouseOut="HideTip('tt199')" class=ISymbol>uvm_tlm_fifo_base#(T)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload" id=link200 onMouseOver="ShowTip(event, 'tt200', 'link200')" onMouseOut="HideTip('tt200')" class=ISymbol>uvm_tlm_generic_payload</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_gp" id=link201 onMouseOver="ShowTip(event, 'tt201', 'link201')" onMouseOut="HideTip('tt201')" class=ISymbol>uvm_tlm_gp</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#uvm_tlm_if" id=link202 onMouseOver="ShowTip(event, 'tt202', 'link202')" onMouseOut="HideTip('tt202')" class=ISymbol>uvm_tlm_if</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2)" id=link203 onMouseOver="ShowTip(event, 'tt203', 'link203')" onMouseOut="HideTip('tt203')" class=ISymbol>uvm_tlm_if_base#(T1,T2)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_initiator_socket" id=link204 onMouseOver="ShowTip(event, 'tt204', 'link204')" onMouseOut="HideTip('tt204')" class=ISymbol>uvm_tlm_nb_initiator_socket</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_nb_initiator_socket_base" id=link205 onMouseOver="ShowTip(event, 'tt205', 'link205')" onMouseOut="HideTip('tt205')" class=ISymbol>uvm_tlm_nb_initiator_socket_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_passthrough_initiator_socket" id=link206 onMouseOver="ShowTip(event, 'tt206', 'link206')" onMouseOut="HideTip('tt206')" class=ISymbol>uvm_tlm_nb_passthrough_initiator_socket</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_nb_passthrough_initiator_socket_base" id=link207 onMouseOver="ShowTip(event, 'tt207', 'link207')" onMouseOut="HideTip('tt207')" class=ISymbol>uvm_tlm_nb_passthrough_initiator_socket_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_passthrough_target_socket" id=link208 onMouseOver="ShowTip(event, 'tt208', 'link208')" onMouseOut="HideTip('tt208')" class=ISymbol>uvm_tlm_nb_passthrough_target_socket</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_nb_passthrough_target_socket_base" id=link209 onMouseOver="ShowTip(event, 'tt209', 'link209')" onMouseOut="HideTip('tt209')" class=ISymbol>uvm_tlm_nb_passthrough_target_socket_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_target_socket" id=link210 onMouseOver="ShowTip(event, 'tt210', 'link210')" onMouseOut="HideTip('tt210')" class=ISymbol>uvm_tlm_nb_target_socket</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_nb_target_socket_base" id=link211 onMouseOver="ShowTip(event, 'tt211', 'link211')" onMouseOut="HideTip('tt211')" class=ISymbol>uvm_tlm_nb_target_socket_base</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_exports-svh.html#uvm_tlm_nb_transport_bw_export" id=link212 onMouseOver="ShowTip(event, 'tt212', 'link212')" onMouseOut="HideTip('tt212')" class=ISymbol>uvm_tlm_nb_transport_bw_export</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_imps-svh.html#uvm_tlm_nb_transport_bw_imp" id=link213 onMouseOver="ShowTip(event, 'tt213', 'link213')" onMouseOut="HideTip('tt213')" class=ISymbol>uvm_tlm_nb_transport_bw_imp</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ports-svh.html#uvm_tlm_nb_transport_bw_port" id=link214 onMouseOver="ShowTip(event, 'tt214', 'link214')" onMouseOut="HideTip('tt214')" class=ISymbol>uvm_tlm_nb_transport_bw_port</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_exports-svh.html#uvm_tlm_nb_transport_fw_export" id=link215 onMouseOver="ShowTip(event, 'tt215', 'link215')" onMouseOut="HideTip('tt215')" class=ISymbol>uvm_tlm_nb_transport_fw_export</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_imps-svh.html#uvm_tlm_nb_transport_fw_imp" id=link216 onMouseOver="ShowTip(event, 'tt216', 'link216')" onMouseOut="HideTip('tt216')" class=ISymbol>uvm_tlm_nb_transport_fw_imp</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ports-svh.html#uvm_tlm_nb_transport_fw_port" id=link217 onMouseOver="ShowTip(event, 'tt217', 'link217')" onMouseOut="HideTip('tt217')" class=ISymbol>uvm_tlm_nb_transport_fw_port</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_req_rsp_channel#(REQ,RSP)" id=link218 onMouseOver="ShowTip(event, 'tt218', 'link218')" onMouseOut="HideTip('tt218')" class=ISymbol>uvm_tlm_req_rsp_channel#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_time-svh.html#uvm_tlm_time" id=link219 onMouseOver="ShowTip(event, 'tt219', 'link219')" onMouseOut="HideTip('tt219')" class=ISymbol>uvm_tlm_time</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_transport_channel#(REQ,RSP)" id=link220 onMouseOver="ShowTip(event, 'tt220', 'link220')" onMouseOut="HideTip('tt220')" class=ISymbol>uvm_tlm_transport_channel#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_top_down_visitor_adapter" id=link221 onMouseOver="ShowTip(event, 'tt221', 'link221')" onMouseOut="HideTip('tt221')" class=ISymbol>uvm_top_down_visitor_adapter</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_topdown_phase-svh.html#uvm_topdown_phase" id=link222 onMouseOver="ShowTip(event, 'tt222', 'link222')" onMouseOut="HideTip('tt222')" class=ISymbol>uvm_topdown_phase</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_tr_database-svh.html#uvm_tr_database" id=link223 onMouseOver="ShowTip(event, 'tt223', 'link223')" onMouseOut="HideTip('tt223')" class=ISymbol>uvm_tr_database</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_tr_stream-svh.html#uvm_tr_stream" id=link224 onMouseOver="ShowTip(event, 'tt224', 'link224')" onMouseOut="HideTip('tt224')" class=ISymbol>uvm_tr_stream</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_transaction-svh.html#uvm_transaction" id=link225 onMouseOver="ShowTip(event, 'tt225', 'link225')" onMouseOut="HideTip('tt225')" class=ISymbol>uvm_transaction</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_printer-svh.html#uvm_tree_printer" id=link226 onMouseOver="ShowTip(event, 'tt226', 'link226')" onMouseOut="HideTip('tt226')" class=ISymbol>uvm_tree_printer</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_misc-svh.html#uvm_utils#(TYPE,FIELD)" id=link227 onMouseOver="ShowTip(event, 'tt227', 'link227')" onMouseOut="HideTip('tt227')" class=ISymbol>uvm_utils#(TYPE,FIELD)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_visitor#(NODE)" id=link228 onMouseOver="ShowTip(event, 'tt228', 'link228')" onMouseOut="HideTip('tt228')" class=ISymbol>uvm_visitor#(NODE)</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_visitor_adapter#(STRUCTURE,uvm_visitor#(STRUCTURE))" id=link229 onMouseOver="ShowTip(event, 'tt229', 'link229')" onMouseOut="HideTip('tt229')" class=ISymbol>uvm_visitor_adapter#(STRUCTURE,uvm_visitor#(STRUCTURE))</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_misc-svh.html#uvm_void" id=link230 onMouseOver="ShowTip(event, 'tt230', 'link230')" onMouseOut="HideTip('tt230')" class=ISymbol>uvm_void</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg" id=link231 onMouseOver="ShowTip(event, 'tt231', 'link231')" onMouseOut="HideTip('tt231')" class=ISymbol>uvm_vreg</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg_cbs" id=link232 onMouseOver="ShowTip(event, 'tt232', 'link232')" onMouseOut="HideTip('tt232')" class=ISymbol>uvm_vreg_cbs</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field" id=link233 onMouseOver="ShowTip(event, 'tt233', 'link233')" onMouseOut="HideTip('tt233')" class=ISymbol>uvm_vreg_field</a></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field_cbs" id=link234 onMouseOver="ShowTip(event, 'tt234', 'link234')" onMouseOut="HideTip('tt234')" class=ISymbol>uvm_vreg_field_cbs</a></td></tr></table> |
| <!--START_ND_TOOLTIPS--> |
| <div class=CToolTip id="tt1"><div class=CClass>The API described here provides a general purpose testbench phasing solution, consisting of a phaser machine, traversing a master schedule graph, which is built by the integrator from one or more instances of template schedules provided by UVM or by 3rd-party VIP, and which supports implicit or explicit synchronization, runtime control of threads and jumps.</div></div><!--END_ND_TOOLTIPS--> |
| |
| |
| <!--START_ND_TOOLTIPS--> |
| <div class=CToolTip id="tt2"><div class=CClass>The bidirectional uvm_*_export is a port that <i>forwards</i> or <i>promotes</i> an interface implementation from a child component to its parent. </div></div><div class=CToolTip id="tt3"><div class=CClass>The unidirectional uvm_*_export is a port that <i>forwards</i> or <i>promotes</i> an interface implementation from a child component to its parent. </div></div><div class=CToolTip id="tt4"><div class=CClass>Bidirectional implementation (imp) port classes--An imp port provides access to an implementation of the associated interface to all connected <i>ports</i> and <i>exports</i>. </div></div><div class=CToolTip id="tt5"><div class=CClass>Unidirectional implementation (imp) port classes--An imp port provides access to an implementation of the associated interface to all connected <i>ports</i> and <i>exports</i>. </div></div><div class=CToolTip id="tt6"><div class=CClass>These bidirectional ports are instantiated by components that <i>require</i>, or <i>use</i>, the associated interface to convey transactions. </div></div><div class=CToolTip id="tt7"><div class=CClass>These unidirectional ports are instantiated by components that <i>require</i>, or <i>use</i>, the associated interface to convey transactions. </div></div><div class=CToolTip id="tt8"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_agent extends uvm_component |
| </td></tr></table></blockquote>The uvm_agent virtual class should be used as the base class for the user- defined agents. </div></div><div class=CToolTip id="tt9"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_algorithmic_comparator #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>BEFORE</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>AFTER</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>TRANSFORMER</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_component</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Compares two streams of data objects of different types, <i>BEFORE</i> and <i>AFTER</i>.</div></div><div class=CToolTip id="tt10"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_analysis_export #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if_base #(T,T))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Exports a lower-level uvm_analysis_imp to its parent.</div></div><div class=CToolTip id="tt11"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_analysis_imp #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>IMP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if_base #(T,T))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Receives all transactions broadcasted by a uvm_analysis_port. </div></div><div class=CToolTip id="tt12"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_analysis_port # (</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base # (uvm_tlm_if_base #(T,T))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Broadcasts a value to all subscribers implementing a uvm_analysis_imp.</div></div><div class=CToolTip id="tt13"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_barrier extends uvm_object |
| </td></tr></table></blockquote>The uvm_barrier class provides a multiprocess synchronization mechanism. </div></div><div class=CToolTip id="tt14"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_bottom_up_visitor_adapter#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>STRUCTURE</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_component,</td> |
| </tr><tr><td> </td> <td class=PType nowrap> </td> |
| <td class=PParameter nowrap>VISITOR</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_visitor#(STRUCTURE)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_visitor_adapter#(STRUCTURE,VISITOR)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This uvm_bottom_up_visitor_adapter traverses the STRUCTURE <i>s</i> (and will invoke the visitor) in a hierarchical fashion. </div></div><div class=CToolTip id="tt15"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_bottomup_phase extends uvm_phase |
| </td></tr></table></blockquote>Virtual base class for function phases that operate bottom-up. </div></div><div class=CToolTip id="tt16"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_build_phase extends uvm_topdown_phase |
| </td></tr></table></blockquote>Create and configure of testbench structure</div></div><div class=CToolTip id="tt17"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_built_in_clone #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This policy class is used to clone built-in types via the = operator.</div></div><div class=CToolTip id="tt18"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_built_in_comp #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This policy class is used to compare built-in types.</div></div><div class=CToolTip id="tt19"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_built_in_converter #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This policy class is used to convert built-in types to strings.</div></div><div class=CToolTip id="tt20"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_built_in_pair #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T1</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap> </td> |
| <td class=PParameter nowrap>T2</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>T1</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_object</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Container holding two variables of built-in types (int, string, etc.)</div></div><div class=CToolTip id="tt21"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_by_level_visitor_adapter#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>STRUCTURE</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_component,</td> |
| </tr><tr><td> </td> <td class=PType nowrap> </td> |
| <td class=PParameter nowrap>VISITOR</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_visitor#(STRUCTURE)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_visitor_adapter#(STRUCTURE,VISITOR)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This uvm_by_level_visitor_adapter traverses the STRUCTURE <i>s</i> (and will invoke the visitor) in a hierarchical fashion. </div></div><div class=CToolTip id="tt22"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_callback extends uvm_object |
| </td></tr></table></blockquote>The <i>uvm_callback</i> class is the base class for user-defined callback classes. </div></div><div class=CToolTip id="tt23"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_callback_iter#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> uvm_object,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>CB</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> uvm_callback</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The <i>uvm_callback_iter</i> class is an iterator class for iterating over callback queues of a specific callback type. </div></div><div class=CToolTip id="tt24"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_callbacks #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_object,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>CB</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_callback</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_typed_callbacks#(T)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The <i>uvm_callbacks</i> class provides a base class for implementing callbacks, which are typically used to modify or augment component behavior without changing the component class. </div></div><div class=CToolTip id="tt25"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_cause_effect_link extends uvm_link_base |
| </td></tr></table></blockquote>The <i>uvm_cause_effect_link</i> is used to represent a Cause/Effect relationship between two objects.</div></div><div class=CToolTip id="tt26"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_check_phase extends uvm_bottomup_phase |
| </td></tr></table></blockquote>Check for any unexpected conditions in the verification environment.</div></div><div class=CToolTip id="tt27"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_class_clone #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This policy class is used to clone class objects.</div></div><div class=CToolTip id="tt28"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_class_comp #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This policy class is used to compare two objects of the same type.</div></div><div class=CToolTip id="tt29"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_class_converter #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This policy class is used to convert a class object to a string.</div></div><div class=CToolTip id="tt30"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_class_pair #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T1</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap> </td> |
| <td class=PParameter nowrap>T2</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>T1</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_object</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Container holding handles to two objects whose types are specified by the type parameters, T1 and T2.</div></div><div class=CToolTip id="tt31"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_cmdline_processor extends uvm_report_object |
| </td></tr></table></blockquote>This class provides an interface to the command line arguments that were provided for the given simulation. </div></div><div class=CToolTip id="tt32"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_comparer |
| </td></tr></table></blockquote>The uvm_comparer class provides a policy object for doing comparisons. </div></div><div class=CToolTip id="tt33"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_component extends uvm_report_object |
| </td></tr></table></blockquote>The uvm_component class is the root base class for UVM components. </div></div><div class=CToolTip id="tt34"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=2>class uvm_component_name_check_visitor extends uvm_visitor#(</td> </tr><tr><td> </td> <td class=PParameter nowrap width=100%>uvm_component</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=2>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This specialized visitor analyze the naming of the current component. </div></div><div class=CToolTip id="tt35"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=2>class uvm_component_proxy extends uvm_structure_proxy#(</td> </tr><tr><td> </td> <td class=PParameter nowrap width=100%>uvm_component</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=2>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The class is providing the proxy to extract the direct subcomponents of <i>s</i></div></div><div class=CToolTip id="tt36"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_component_registry #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_component,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>Tname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"<unknown>"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_object_wrapper</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The uvm_component_registry serves as a lightweight proxy for a component of type <i>T</i> and type name <i>Tname</i>, a string. </div></div><div class=CToolTip id="tt37"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_config_db#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_resource_db#(T)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| All of the functions in uvm_config_db#(T) are static, so they must be called using the :: operator. </div></div><div class=CToolTip id="tt38"><div class=CClass>Provides a namespace for managing options for the configuration DB facility. </div></div><div class=CToolTip id="tt39"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_configure_phase extends uvm_task_phase |
| </td></tr></table></blockquote>The SW configures the DUT.</div></div><div class=CToolTip id="tt40"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_connect_phase extends uvm_bottomup_phase |
| </td></tr></table></blockquote>Establish cross-component connections.</div></div><div class=CToolTip id="tt41"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_coreservice_t |
| </td></tr></table></blockquote>The singleton instance of uvm_coreservice_t provides a common point for all central uvm services such as uvm_factory, uvm_report_server, ... </div></div><div class=CToolTip id="tt42"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_default_coreservice_t extends uvm_coreservice_t |
| </td></tr></table></blockquote>uvm_default_coreservice_t provides a default implementation of the uvm_coreservice_t API. </div></div><div class=CToolTip id="tt43"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_default_factory extends uvm_factory |
| </td></tr></table></blockquote>Default implementation of the UVM factory.</div></div><div class=CToolTip id="tt44"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_default_report_server extends uvm_report_server |
| </td></tr></table></blockquote>Default implementation of the UVM report server.</div></div><div class=CToolTip id="tt45"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_domain extends uvm_phase |
| </td></tr></table></blockquote>Phasing schedule node representing an independent branch of the schedule. </div></div><div class=CToolTip id="tt46"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_driver #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_sequence_item,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>REQ</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_component</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The base class for drivers that initiate requests for new transactions via a uvm_seq_item_pull_port. </div></div><div class=CToolTip id="tt47"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_end_of_elaboration_phase extends uvm_bottomup_phase |
| </td></tr></table></blockquote>Fine-tune the testbench.</div></div><div class=CToolTip id="tt48"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_enum_wrapper#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_active_passive_enum</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The <i>uvm_enum_wrapper#(T)</i> class is a utility mechanism provided as a convenience to the end user. </div></div><div class=CToolTip id="tt49"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_env extends uvm_component |
| </td></tr></table></blockquote>The base class for hierarchical containers of other components that together comprise a complete environment. </div></div><div class=CToolTip id="tt50"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_event#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_object</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_event_base</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The uvm_event class is an extension of the abstract uvm_event_base class.</div></div><div class=CToolTip id="tt51"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_event_base extends uvm_object |
| </td></tr></table></blockquote>The uvm_event_base class is an abstract wrapper class around the SystemVerilog event construct. </div></div><div class=CToolTip id="tt52"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual class uvm_event_callback#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_object</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_object</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The uvm_event_callback class is an abstract class that is used to create callback objects which may be attached to uvm_event#(T)s. </div></div><div class=CToolTip id="tt53"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_extract_phase extends uvm_bottomup_phase |
| </td></tr></table></blockquote>Extract data from different points of the verification environment.</div></div><div class=CToolTip id="tt54"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_factory |
| </td></tr></table></blockquote>As the name implies, uvm_factory is used to manufacture (create) UVM objects and components. </div></div><div class=CToolTip id="tt55"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_final_phase extends uvm_topdown_phase |
| </td></tr></table></blockquote>Tie up loose ends.</div></div><div class=CToolTip id="tt56"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_get_to_lock_dap#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_set_get_dap_base#(T)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Provides a ‘Get-To-Lock’ Data Access Policy.</div></div><div class=CToolTip id="tt57"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_hdl_path_concat |
| </td></tr></table></blockquote>Concatenation of HDL variables</div></div><div class=CToolTip id="tt58"><div class=CClass>Heartbeats provide a way for environments to easily ensure that their descendants are alive. </div></div><div class=CToolTip id="tt59"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_in_order_built_in_comparator #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_in_order_comparator #(T)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This class uses the uvm_built_in_* comparison, converter, and pair classes. </div></div><div class=CToolTip id="tt60"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_in_order_class_comparator #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_in_order_comparator #( T , uvm_class_comp #( T ) , uvm_class_converter #( T ) , uvm_class_pair #( T, T ) )</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This class uses the uvm_class_* comparison, converter, and pair classes. </div></div><div class=CToolTip id="tt61"><div class=CClass>Compares two streams of data objects of the type parameter, T. </div></div><div class=CToolTip id="tt62"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_line_printer extends uvm_tree_printer |
| </td></tr></table></blockquote>The line printer prints output in a line format.</div></div><div class=CToolTip id="tt63"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_link_base extends uvm_object |
| </td></tr></table></blockquote>The <i>uvm_link_base</i> class presents a simple API for defining a link between any two objects.</div></div><div class=CToolTip id="tt64"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_main_phase extends uvm_task_phase |
| </td></tr></table></blockquote>Primary test stimulus.</div></div><div class=CToolTip id="tt65"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_mem extends uvm_object |
| </td></tr></table></blockquote>Memory abstraction base class</div></div><div class=CToolTip id="tt66"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_mem_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verify the accessibility of all memories in a block by executing the uvm_mem_single_access_seq sequence on every memory within it.</div></div><div class=CToolTip id="tt67"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_mem_mam |
| </td></tr></table></blockquote>Memory allocation manager</div></div><div class=CToolTip id="tt68"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_mem_mam_cfg |
| </td></tr></table></blockquote>Specifies the memory managed by an instance of a uvm_mem_mam memory allocation manager class.</div></div><div class=CToolTip id="tt69"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_mem_mam_policy |
| </td></tr></table></blockquote>An instance of this class is randomized to determine the starting offset of a randomly allocated memory region. </div></div><div class=CToolTip id="tt70"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_mem_region |
| </td></tr></table></blockquote>Allocated memory region descriptor</div></div><div class=CToolTip id="tt71"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_mem_shared_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verify the accessibility of a shared memory by writing through each address map then reading it via every other address maps in which the memory is readable and the backdoor, making sure that the resulting value matches the written value.</div></div><div class=CToolTip id="tt72"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_mem_single_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verify the accessibility of a memory by writing through its default address map then reading it via the backdoor, then reversing the process, making sure that the resulting value matches the written value.</div></div><div class=CToolTip id="tt73"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_mem_single_walk_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Runs the walking-ones algorithm on the memory given by the mem property, which must be assigned prior to starting this sequence.</div></div><div class=CToolTip id="tt74"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_mem_walk_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verifies the all memories in a block by executing the uvm_mem_single_walk_seq sequence on every memory within it.</div></div><div class=CToolTip id="tt75"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_monitor extends uvm_component |
| </td></tr></table></blockquote>This class should be used as the base class for user-defined monitors.</div></div><div class=CToolTip id="tt76"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_object extends uvm_void |
| </td></tr></table></blockquote>The uvm_object class is the base class for all UVM data and hierarchical classes. </div></div><div class=CToolTip id="tt77"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_object_registry #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_object,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>Tname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"<unknown>"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_object_wrapper</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The uvm_object_registry serves as a lightweight proxy for a uvm_object of type <i>T</i> and type name <i>Tname</i>, a string. </div></div><div class=CToolTip id="tt78"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_object_string_pool #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_object</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_pool #(string,T)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This provides a specialization of the generic uvm_pool #(KEY,T) class for an associative array of uvm_object-based objects indexed by string. </div></div><div class=CToolTip id="tt79"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_object_wrapper |
| </td></tr></table></blockquote>The uvm_object_wrapper provides an abstract interface for creating object and component proxies. </div></div><div class=CToolTip id="tt80"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_objection extends uvm_report_object |
| </td></tr></table></blockquote>Objections provide a facility for coordinating status information between two or more participating components, objects, and even module-based IP.</div></div><div class=CToolTip id="tt81"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_objection_callback extends uvm_callback |
| </td></tr></table></blockquote>The uvm_objection is the callback type that defines the callback implementations for an objection callback. </div></div><div class=CToolTip id="tt82"><div class=CClass>The uvm_packer class provides a policy object for packing and unpacking uvm_objects. </div></div><div class=CToolTip id="tt83"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_parent_child_link extends uvm_link_base |
| </td></tr></table></blockquote>The <i>uvm_parent_child_link</i> is used to represent a Parent/Child relationship between two objects.</div></div><div class=CToolTip id="tt84"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_phase extends uvm_object |
| </td></tr></table></blockquote>This base class defines everything about a phase: behavior, state, and context.</div></div><div class=CToolTip id="tt85"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_phase_cb extends uvm_callback |
| </td></tr></table></blockquote>This class defines a callback method that is invoked by the phaser during the execution of a specific node in the phase graph or all phase nodes. </div></div><div class=CToolTip id="tt86"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=2>typedef uvm_callbacks#(</td> </tr><tr><td> </td> <td class=PParameter nowrap width=100%>uvm_phase,</td> |
| </tr><tr><td> </td> <td class=PParameter nowrap width=100%>uvm_phase_cb</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=2>) uvm_phase_cb_pool</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Convenience type for the uvm_callbacks#(uvm_phase, uvm_phase_cb) class.</div></div><div class=CToolTip id="tt87"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_phase_state_change extends uvm_object |
| </td></tr></table></blockquote>Phase state transition descriptor. </div></div><div class=CToolTip id="tt88"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_pool #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>KEY</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap> </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_void</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_object</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Implements a class-based dynamic associative array. </div></div><div class=CToolTip id="tt89"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual class uvm_port_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>IF</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_void</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends IF</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Transaction-level communication between components is handled via its ports, exports, and imps, all of which derive from this class.</div></div><div class=CToolTip id="tt90"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_port_component #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>PORT</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_object</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_component_base</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| See description of uvm_port_component_base for information about this class</div></div><div class=CToolTip id="tt91"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_port_component_base extends uvm_component |
| </td></tr></table></blockquote>This class defines an interface for obtaining a port’s connectivity lists after or during the end_of_elaboration phase. </div></div><div class=CToolTip id="tt92"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_post_configure_phase extends uvm_task_phase |
| </td></tr></table></blockquote>After the SW has configured the DUT.</div></div><div class=CToolTip id="tt93"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_post_main_phase extends uvm_task_phase |
| </td></tr></table></blockquote>After enough of the primary test stimulus.</div></div><div class=CToolTip id="tt94"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_post_reset_phase extends uvm_task_phase |
| </td></tr></table></blockquote>After reset is de-asserted.</div></div><div class=CToolTip id="tt95"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_post_shutdown_phase extends uvm_task_phase |
| </td></tr></table></blockquote>After things have settled down.</div></div><div class=CToolTip id="tt96"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_pre_configure_phase extends uvm_task_phase |
| </td></tr></table></blockquote>Before the DUT is configured by the SW.</div></div><div class=CToolTip id="tt97"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_pre_main_phase extends uvm_task_phase |
| </td></tr></table></blockquote>Before the primary test stimulus starts.</div></div><div class=CToolTip id="tt98"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_pre_reset_phase extends uvm_task_phase |
| </td></tr></table></blockquote>Before reset is asserted.</div></div><div class=CToolTip id="tt99"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_pre_shutdown_phase extends uvm_task_phase |
| </td></tr></table></blockquote>Before things settle down.</div></div><div class=CToolTip id="tt100"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_printer |
| </td></tr></table></blockquote>The uvm_printer class provides an interface for printing uvm_objects in various formats. </div></div><div class=CToolTip id="tt101"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_printer_knobs |
| </td></tr></table></blockquote>The <i>uvm_printer_knobs</i> class defines the printer settings available to all printer subtypes.</div></div><div class=CToolTip id="tt102"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_push_driver #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_sequence_item,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>REQ</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_component</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Base class for a driver that passively receives transactions, i.e. </div></div><div class=CToolTip id="tt103"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_push_sequencer #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_sequence_item,</td> |
| </tr><tr><td> </td> <td class=PType nowrap> </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>REQ</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_sequencer_param_base #(REQ, RSP)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| </div></div><div class=CToolTip id="tt104"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_queue #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_object</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Implements a class-based dynamic queue. </div></div><div class=CToolTip id="tt105"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_random_stimulus #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_transaction</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_component</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| A general purpose unidirectional random stimulus class.</div></div><div class=CToolTip id="tt106"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_recorder extends uvm_object |
| </td></tr></table></blockquote>Abstract class which defines the <i>recorder</i> API.</div></div><div class=CToolTip id="tt107"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg extends uvm_object |
| </td></tr></table></blockquote>Register abstraction base class</div></div><div class=CToolTip id="tt108"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_reg_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verify the accessibility of all registers in a block by executing the uvm_reg_single_access_seq sequence on every register within it.</div></div><div class=CToolTip id="tt109"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg_adapter extends uvm_object |
| </td></tr></table></blockquote>This class defines an interface for converting between uvm_reg_bus_op and a specific bus transaction.</div></div><div class=CToolTip id="tt110"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_backdoor extends uvm_object |
| </td></tr></table></blockquote>Base class for user-defined back-door register and memory access.</div></div><div class=CToolTip id="tt111"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_reg_bit_bash_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verify the implementation of all registers in a block by executing the uvm_reg_single_bit_bash_seq sequence on it.</div></div><div class=CToolTip id="tt112"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg_block extends uvm_object |
| </td></tr></table></blockquote>Block abstraction base class</div></div><div class=CToolTip id="tt113"><div class=CClass>Struct that defines a generic bus transaction for register and memory accesses, having <i>kind</i> (read or write), <i>address</i>, <i>data</i>, and <i>byte enable</i> information. </div></div><div class=CToolTip id="tt114"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg_cbs extends uvm_callback |
| </td></tr></table></blockquote>Facade class for field, register, memory and backdoor access callback methods.</div></div><div class=CToolTip id="tt115"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_field extends uvm_object |
| </td></tr></table></blockquote>Field abstraction class</div></div><div class=CToolTip id="tt116"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_fifo extends uvm_reg |
| </td></tr></table></blockquote>This special register models a DUT FIFO accessed via write/read, where writes push to the FIFO and reads pop from it.</div></div><div class=CToolTip id="tt117"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg_file extends uvm_object |
| </td></tr></table></blockquote>Register file abstraction base class</div></div><div class=CToolTip id="tt118"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual class uvm_reg_frontdoor extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_sequence_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Facade class for register and memory frontdoor access.</div></div><div class=CToolTip id="tt119"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_reg_hw_reset_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Test the hard reset values of registers</div></div><div class=CToolTip id="tt120"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_indirect_data extends uvm_reg |
| </td></tr></table></blockquote>Indirect data access abstraction class</div></div><div class=CToolTip id="tt121"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_item extends uvm_sequence_item |
| </td></tr></table></blockquote>Defines an abstract register transaction item. </div></div><div class=CToolTip id="tt122"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_map extends uvm_object |
| </td></tr></table></blockquote></div></div><div class=CToolTip id="tt123"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_reg_mem_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verify the accessibility of all registers and memories in a block by executing the uvm_reg_access_seq and uvm_mem_access_seq sequence respectively on every register and memory within it.</div></div><div class=CToolTip id="tt124"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_reg_mem_built_in_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Sequence that executes a user-defined selection of pre-defined register and memory test sequences.</div></div><div class=CToolTip id="tt125"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_reg_mem_hdl_paths_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verify the correctness of HDL paths specified for registers and memories.</div></div><div class=CToolTip id="tt126"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_reg_mem_shared_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verify the accessibility of all shared registers and memories in a block by executing the uvm_reg_shared_access_seq and uvm_mem_shared_access_seq sequence respectively on every register and memory within it.</div></div><div class=CToolTip id="tt127"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_reg_predictor #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>BUSTYPE</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_component</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Updates the register model mirror based on observed bus transactions</div></div><div class=CToolTip id="tt128"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_read_only_cbs extends uvm_reg_cbs |
| </td></tr></table></blockquote>Pre-defined register callback method for read-only registers that will issue an error if a write() operation is attempted.</div></div><div class=CToolTip id="tt129"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>BASE</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_sequence #(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends BASE</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This class provides base functionality for both user-defined RegModel test sequences and “register translation sequences”.</div></div><div class=CToolTip id="tt130"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_reg_shared_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verify the accessibility of a shared register by writing through each address map then reading it via every other address maps in which the register is readable and the backdoor, making sure that the resulting value matches the mirrored value.</div></div><div class=CToolTip id="tt131"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_reg_single_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verify the accessibility of a register by writing through its default address map then reading it via the backdoor, then reversing the process, making sure that the resulting value matches the mirrored value.</div></div><div class=CToolTip id="tt132"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>class uvm_reg_single_bit_bash_seq extends uvm_reg_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence </td> |
| <td class=PParameter nowrap width=100%>#(uvm_reg_item)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Verify the implementation of a single register by attempting to write 1’s and 0’s to every bit in it, via every address map in which the register is mapped, making sure that the resulting value matches the mirrored value.</div></div><div class=CToolTip id="tt133"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_tlm_adapter extends uvm_reg_adapter |
| </td></tr></table></blockquote>For converting between uvm_reg_bus_op and uvm_tlm_gp items.</div></div><div class=CToolTip id="tt134"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg_transaction_order_policy extends uvm_object |
| </td></tr></table></blockquote></div></div><div class=CToolTip id="tt135"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_write_only_cbs extends uvm_reg_cbs |
| </td></tr></table></blockquote>Pre-defined register callback method for write-only registers that will issue an error if a read() operation is attempted.</div></div><div class=CToolTip id="tt136"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_related_link extends uvm_link_base |
| </td></tr></table></blockquote>The <i>uvm_related_link</i> is used to represent a generic “is related” link between two objects.</div></div><div class=CToolTip id="tt137"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_report_catcher extends uvm_callback |
| </td></tr></table></blockquote>The uvm_report_catcher is used to catch messages issued by the uvm report server. </div></div><div class=CToolTip id="tt138"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_handler extends uvm_object |
| </td></tr></table></blockquote>The uvm_report_handler is the class to which most methods in uvm_report_object delegate. </div></div><div class=CToolTip id="tt139"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_message extends uvm_object |
| </td></tr></table></blockquote>The uvm_report_message is the basic UVM object message class. </div></div><div class=CToolTip id="tt140"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_report_message_element_base |
| </td></tr></table></blockquote>Base class for report message element. </div></div><div class=CToolTip id="tt141"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_message_element_container extends uvm_object |
| </td></tr></table></blockquote>A container used by report message to contain the dynamically added elements, with APIs to add and delete the elements.</div></div><div class=CToolTip id="tt142"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_message_int_element extends uvm_report_message_element_base |
| </td></tr></table></blockquote>Message element class for integral type</div></div><div class=CToolTip id="tt143"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_message_object_element extends uvm_report_message_element_base |
| </td></tr></table></blockquote>Message element class for object type</div></div><div class=CToolTip id="tt144"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_message_string_element extends uvm_report_message_element_base |
| </td></tr></table></blockquote>Message element class for string type</div></div><div class=CToolTip id="tt145"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_object extends uvm_object |
| </td></tr></table></blockquote>The uvm_report_object provides an interface to the UVM reporting facility. </div></div><div class=CToolTip id="tt146"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_phase extends uvm_bottomup_phase |
| </td></tr></table></blockquote>Report results of the test.</div></div><div class=CToolTip id="tt147"><div class=CClass>uvm_report_server is a global server that processes all of the reports generated by a uvm_report_handler.</div></div><div class=CToolTip id="tt148"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reset_phase extends uvm_task_phase |
| </td></tr></table></blockquote>Reset is asserted.</div></div><div class=CToolTip id="tt149"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_resource #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_resource_base</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Parameterized resource. </div></div><div class=CToolTip id="tt150"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_resource_base extends uvm_object |
| </td></tr></table></blockquote>Non-parameterized base class for resources. </div></div><div class=CToolTip id="tt151"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_resource_db #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_object</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| All of the functions in uvm_resource_db#(T) are static, so they must be called using the :: operator. </div></div><div class=CToolTip id="tt152"><div class=CClass>Provides a namespace for managing options for the resources DB facility. </div></div><div class=CToolTip id="tt153"><div class=CClass>Provides a namespace for managing options for the resources facility. </div></div><div class=CToolTip id="tt154"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_resource_pool |
| </td></tr></table></blockquote>The global (singleton) resource database.</div></div><div class=CToolTip id="tt155"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_resource_types |
| </td></tr></table></blockquote>Provides typedefs and enums used throughout the resources facility. </div></div><div class=CToolTip id="tt156"><div class=CClass>The <i>uvm_root</i> class serves as the implicit top-level and phase controller for all UVM components. </div></div><div class=CToolTip id="tt157"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_run_phase extends uvm_task_phase |
| </td></tr></table></blockquote>Stimulate the DUT.</div></div><div class=CToolTip id="tt158"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_scoreboard extends uvm_component |
| </td></tr></table></blockquote>The uvm_scoreboard virtual class should be used as the base class for user-defined scoreboards.</div></div><div class=CToolTip id="tt159"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_seq_item_pull_export #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>REQ</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This export type is used in sequencer-driver communication. </div></div><div class=CToolTip id="tt160"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_seq_item_pull_imp #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>REQ,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>IMP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This imp type is used in sequencer-driver communication. </div></div><div class=CToolTip id="tt161"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_seq_item_pull_port #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>REQ</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| UVM provides a port, export, and imp connector for use in sequencer-driver communication. </div></div><div class=CToolTip id="tt162"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual class uvm_sequence #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> uvm_sequence_item,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> REQ</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_sequence_base</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The uvm_sequence class provides the interfaces necessary in order to create streams of sequence items and/or other sequences.</div></div><div class=CToolTip id="tt163"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_sequence_base extends uvm_sequence_item |
| </td></tr></table></blockquote>The uvm_sequence_base class provides the interfaces needed to create streams of sequence items and/or other sequences.</div></div><div class=CToolTip id="tt164"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_sequence_item extends uvm_transaction |
| </td></tr></table></blockquote>The base class for user-defined sequence items and also the base class for the uvm_sequence class. </div></div><div class=CToolTip id="tt165"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_sequence_library #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_sequence_item,</td> |
| </tr><tr><td> </td> <td class=PType nowrap> </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>REQ</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_sequence #(REQ,RSP)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The <i>uvm_sequence_library</i> is a sequence that contains a list of registered sequence types. </div></div><div class=CToolTip id="tt166"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_sequence_library_cfg extends uvm_object |
| </td></tr></table></blockquote>A convenient container class for configuring all the sequence library parameters using a single <i>set</i> command.</div></div><div class=CToolTip id="tt167"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_sequencer #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_sequence_item,</td> |
| </tr><tr><td> </td> <td class=PType nowrap> </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>REQ</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_sequencer_param_base #(REQ, RSP)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| </div></div><div class=CToolTip id="tt168"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_sequencer_base extends uvm_component |
| </td></tr></table></blockquote>Controls the flow of sequences, which generate the stimulus (sequence item transactions) that is passed on to drivers for execution.</div></div><div class=CToolTip id="tt169"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_sequencer_param_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> uvm_sequence_item,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> REQ</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_sequencer_base</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Extends uvm_sequencer_base with an API depending on specific request (REQ) and response (RSP) types.</div></div><div class=CToolTip id="tt170"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_set_before_get_dap#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_set_get_dap_base#(T)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Provides a ‘Set Before Get’ Data Access Policy.</div></div><div class=CToolTip id="tt171"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual class uvm_set_get_dap_base#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_object</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Provides the ‘set’ and ‘get’ interface for Data Access Policies (DAPs)</div></div><div class=CToolTip id="tt172"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_shutdown_phase extends uvm_task_phase |
| </td></tr></table></blockquote>Letting things settle down.</div></div><div class=CToolTip id="tt173"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_simple_lock_dap#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_set_get_dap_base#(T)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Provides a ‘Simple Lock’ Data Access Policy.</div></div><div class=CToolTip id="tt174"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual class uvm_sqr_if_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T1</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_object,</td> |
| </tr><tr><td> </td> <td class=PType nowrap> </td> |
| <td class=PParameter nowrap>T2</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>T1</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This class defines an interface for sequence drivers to communicate with sequencers. </div></div><div class=CToolTip id="tt175"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_start_of_simulation_phase extends uvm_bottomup_phase |
| </td></tr></table></blockquote>Get ready for DUT to be simulated.</div></div><div class=CToolTip id="tt176"><div class=CClass>The uvm_structure_proxy is a wrapper and provides a set of elements of the STRUCTURE to the caller on demand. </div></div><div class=CToolTip id="tt177"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual class uvm_subscriber #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_component</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This class provides an analysis export for receiving transactions from a connected analysis export. </div></div><div class=CToolTip id="tt178"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_table_printer extends uvm_printer |
| </td></tr></table></blockquote>The table printer prints output in a tabular format.</div></div><div class=CToolTip id="tt179"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_task_phase extends uvm_phase |
| </td></tr></table></blockquote>Base class for all task phases. </div></div><div class=CToolTip id="tt180"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_test extends uvm_component |
| </td></tr></table></blockquote>This class is the virtual base class for the user-defined tests.</div></div><div class=CToolTip id="tt181"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_text_recorder extends uvm_recorder |
| </td></tr></table></blockquote>The <i>uvm_text_recorder</i> is the default recorder implementation for the uvm_text_tr_database.</div></div><div class=CToolTip id="tt182"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_text_tr_database extends uvm_tr_database |
| </td></tr></table></blockquote>The <i>uvm_text_tr_database</i> is the default implementation for the uvm_tr_database. </div></div><div class=CToolTip id="tt183"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_text_tr_stream extends uvm_tr_stream |
| </td></tr></table></blockquote>The <i>uvm_text_tr_stream</i> is the default stream implementation for the uvm_text_tr_database.</div></div><div class=CToolTip id="tt184"><div class=CClass>An analysis_fifo is a uvm_tlm_fifo#(T) with an unbounded size and a write interface. </div></div><div class=CToolTip id="tt185"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_b_initiator_socket #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_tlm_b_initiator_socket_base #(T)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward port; has no backward path except via the payload contents</div></div><div class=CToolTip id="tt186"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_b_initiator_socket_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward port; has no backward path except via the payload contents</div></div><div class=CToolTip id="tt187"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_b_passthrough_initiator_socket #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_tlm_b_passthrough_initiator_socket_base #(T)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward port;</div></div><div class=CToolTip id="tt188"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_b_passthrough_initiator_socket_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward port</div></div><div class=CToolTip id="tt189"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_b_passthrough_target_socket #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_tlm_b_passthrough_target_socket_base #(T)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward export;</div></div><div class=CToolTip id="tt190"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_b_passthrough_target_socket_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward export</div></div><div class=CToolTip id="tt191"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_b_target_socket #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>IMP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_tlm_b_target_socket_base #(T)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward imp; has no backward path except via the payload contents.</div></div><div class=CToolTip id="tt192"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_b_target_socket_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward imp; has no backward path except via the payload contents.</div></div><div class=CToolTip id="tt193"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_b_transport_export #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Blocking transport export class.</div></div><div class=CToolTip id="tt194"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_b_transport_imp #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>IMP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Used like exports, except an additional class parameter specifies the type of the implementation object. </div></div><div class=CToolTip id="tt195"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_b_transport_port #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Class providing the blocking transport port. </div></div><div class=CToolTip id="tt196"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_extension #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_tlm_extension_base</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| TLM extension class. </div></div><div class=CToolTip id="tt197"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_tlm_extension_base extends uvm_object |
| </td></tr></table></blockquote>The class uvm_tlm_extension_base is the non-parameterized base class for all generic payload extensions. </div></div><div class=CToolTip id="tt198"><div class=CClass>This class provides storage of transactions between two independently running processes. </div></div><div class=CToolTip id="tt199"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual class uvm_tlm_fifo_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_component</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This class is the base for uvm_tlm_fifo#(T). </div></div><div class=CToolTip id="tt200"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_tlm_generic_payload extends uvm_sequence_item |
| </td></tr></table></blockquote>This class provides a transaction definition commonly used in memory-mapped bus-based systems. </div></div><div class=CToolTip id="tt201"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>typedef uvm_tlm_generic_payload uvm_tlm_gp |
| </td></tr></table></blockquote>This typedef provides a short, more convenient name for the uvm_tlm_generic_payload type.</div></div><div class=CToolTip id="tt202"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_if #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Base class type to define the transport functions.</div></div><div class=CToolTip id="tt203"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual class uvm_tlm_if_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T1</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T2</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This class declares all of the methods of the TLM API.</div></div><div class=CToolTip id="tt204"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_initiator_socket #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>IMP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_tlm_nb_initiator_socket_base #(T,P)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward port; HAS-A backward imp</div></div><div class=CToolTip id="tt205"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_initiator_socket_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward port; HAS-A backward imp</div></div><div class=CToolTip id="tt206"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_passthrough_initiator_socket #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_tlm_nb_passthrough_initiator_socket_base #(T,P)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward port; HAS-A backward export</div></div><div class=CToolTip id="tt207"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_passthrough_initiator_socket_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward port; HAS-A backward export</div></div><div class=CToolTip id="tt208"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_passthrough_target_socket #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_tlm_nb_passthrough_target_socket_base #(T,P)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward export; HAS-A backward port</div></div><div class=CToolTip id="tt209"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_passthrough_target_socket_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward export; HAS-A backward port</div></div><div class=CToolTip id="tt210"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_target_socket #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>IMP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_tlm_nb_target_socket_base #(T,P)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward imp; HAS-A backward port</div></div><div class=CToolTip id="tt211"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_target_socket_base #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| IS-A forward imp; HAS-A backward port</div></div><div class=CToolTip id="tt212"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_bw_export #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Non-blocking backward transport export class</div></div><div class=CToolTip id="tt213"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_bw_imp #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>IMP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Used like exports, except an additional class parameter specifies the type of the implementation object. </div></div><div class=CToolTip id="tt214"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_bw_port #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Class providing the non-blocking backward transport port. </div></div><div class=CToolTip id="tt215"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_fw_export #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Non-blocking forward transport export class</div></div><div class=CToolTip id="tt216"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_fw_imp #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>IMP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Used like exports, except an additional class parameter specifies the type of the implementation object. </div></div><div class=CToolTip id="tt217"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_fw_port #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>T</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>P</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_tlm_phase_e</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Class providing the non-blocking backward transport port. </div></div><div class=CToolTip id="tt218"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_req_rsp_channel #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>REQ</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_component</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The uvm_tlm_req_rsp_channel contains a request FIFO of type <i>REQ</i> and a response FIFO of type <i>RSP</i>. </div></div><div class=CToolTip id="tt219"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_tlm_time |
| </td></tr></table></blockquote>Canonical time type that can be used in different timescales</div></div><div class=CToolTip id="tt220"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_tlm_transport_channel #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>REQ</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>RSP</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>REQ</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_tlm_req_rsp_channel #(REQ, RSP)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| A uvm_tlm_transport_channel is a uvm_tlm_req_rsp_channel #(REQ,RSP) that implements the transport interface. </div></div><div class=CToolTip id="tt221"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_top_down_visitor_adapter#(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>STRUCTURE</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_component,</td> |
| </tr><tr><td> </td> <td class=PType nowrap> </td> |
| <td class=PParameter nowrap>VISITOR</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>uvm_visitor#(STRUCTURE)</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>) extends uvm_visitor_adapter#(STRUCTURE,VISITOR)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This uvm_top_down_visitor_adapter traverses the STRUCTURE <i>s</i> (and will invoke the visitor) in a hierarchical fashion. </div></div><div class=CToolTip id="tt222"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_topdown_phase extends uvm_phase |
| </td></tr></table></blockquote>Virtual base class for function phases that operate top-down. </div></div><div class=CToolTip id="tt223"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_tr_database extends uvm_object |
| </td></tr></table></blockquote>The <i>uvm_tr_database</i> class is intended to hide the underlying database implementation from the end user, as these details are often vendor or tool-specific.</div></div><div class=CToolTip id="tt224"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_tr_stream extends uvm_object |
| </td></tr></table></blockquote>The <i>uvm_tr_stream</i> base class is a representation of a stream of records within a uvm_tr_database.</div></div><div class=CToolTip id="tt225"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_transaction extends uvm_object |
| </td></tr></table></blockquote>The uvm_transaction class is the root base class for UVM transactions. </div></div><div class=CToolTip id="tt226"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_tree_printer extends uvm_printer |
| </td></tr></table></blockquote>By overriding various methods of the uvm_printer super class, the tree printer prints output in a tree format.</div></div><div class=CToolTip id="tt227"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>class uvm_utils #(</td> </tr><tr><td> </td> <td class=PType nowrap>type </td> |
| <td class=PParameter nowrap>TYPE</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>int,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>FIELD</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"config"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This class contains useful template functions.</div></div><div class=CToolTip id="tt228"><div class=CClass>The uvm_visitor class provides an abstract base class for a visitor. </div></div><div class=CToolTip id="tt229"><div class=CClass>The visitor adaptor traverses all nodes of the STRUCTURE and will invoke visitor.visit() on every node.</div></div><div class=CToolTip id="tt230"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_void |
| </td></tr></table></blockquote>The <i>uvm_void</i> class is the base class for all UVM classes. </div></div><div class=CToolTip id="tt231"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_vreg extends uvm_object |
| </td></tr></table></blockquote>Virtual register abstraction base class</div></div><div class=CToolTip id="tt232"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_vreg_cbs extends uvm_callback |
| </td></tr></table></blockquote>Pre/post read/write callback facade class</div></div><div class=CToolTip id="tt233"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_vreg_field extends uvm_object |
| </td></tr></table></blockquote>Virtual field abstraction class</div></div><div class=CToolTip id="tt234"><div class=CClass> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_vreg_field_cbs extends uvm_callback |
| </td></tr></table></blockquote>Pre/post read/write callback facade class</div></div><!--END_ND_TOOLTIPS--> |
| |
| </div><!--Index--> |
| |
| |
| <script language=JavaScript><!-- |
| if (browserType) {if (browserVer) {document.write("</div>"); }document.write("</div>");}// --></script></body></html> |