blob: 5b8a9cf732f4db3400aa6ceec2deeb2bddff345e [file] [log] [blame]
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN" "http://www.w3.org/TR/REC-html40/loose.dtd">
<html><head><title>Index</title><link rel="stylesheet" type="text/css" href="../styles/main.css"><script language=JavaScript src="../javascript/main.js"></script></head><body class="FramedIndexPage" onLoad="NDOnLoad()"><script language=JavaScript><!--
if (browserType) {document.write("<div class=" + browserType + ">");if (browserVer) {document.write("<div class=" + browserVer + ">"); }}// --></script>
<!-- Generated by Natural Docs, version Development Release 01-12-2008 (1.35 base) -->
<!-- http://www.naturaldocs.org -->
<!-- saved from url=(0026)http://www.naturaldocs.org -->
<div id=Index><div class=IPageTitle>Index</div><div class=INavigationBar><a href="General.html#Symbols">$#!</a> &middot; <a href="General.html#Numbers">0-9</a> &middot; <a href="General.html#A">A</a> &middot; <a href="General.html#B">B</a> &middot; <a href="General2.html#C">C</a> &middot; <a href="General2.html#D">D</a> &middot; <a href="General2.html#E">E</a> &middot; <a href="General3.html#F">F</a> &middot; <a href="General4.html#G">G</a> &middot; <a href="General5.html#H">H</a> &middot; <a href="General5.html#I">I</a> &middot; <a href="General5.html#J">J</a> &middot; <a href="General5.html#K">K</a> &middot; <a href="General5.html#L">L</a> &middot; <a href="General5.html#M">M</a> &middot; <a href="General6.html#N">N</a> &middot; <a href="General6.html#O">O</a> &middot; <a href="General7.html#P">P</a> &middot; <a href="General7.html#Q">Q</a> &middot; <a href="General8.html#R">R</a> &middot; <a href="General9.html#S">S</a> &middot; <a href="General10.html#T">T</a> &middot; <a href="#U">U</a> &middot; <a href="General12.html#V">V</a> &middot; <a href="General12.html#W">W</a> &middot; X &middot; Y &middot; Z</div><table border=0 cellspacing=0 cellpadding=0>
<tr><td class=IHeading id=IFirstHeading><a name="U"></a>U</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>ungrab</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.ungrab" id=link1910 onMouseOver="ShowTip(event, 'tt1905', 'link1910')" onMouseOut="HideTip('tt1905')" class=IParent>uvm_sequence_base</a><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.ungrab" id=link1911 onMouseOver="ShowTip(event, 'tt1906', 'link1911')" onMouseOut="HideTip('tt1906')" class=IParent>uvm_sequencer_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/tlm1-txt.html#Unidirectional_InterfacesPorts" id=link1912 onMouseOver="ShowTip(event, 'tt1907', 'link1912')" onMouseOut="HideTip('tt1907')" class=ISymbol>Unidirectional Interfaces&amp;Ports</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#UNINITIALIZED_PHASE" id=link1913 onMouseOver="ShowTip(event, 'tt1908', 'link1913')" onMouseOut="HideTip('tt1908')" class=ISymbol>UNINITIALIZED_PHASE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unlock</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.unlock" id=link1914 onMouseOver="ShowTip(event, 'tt1909', 'link1914')" onMouseOut="HideTip('tt1909')" class=IParent>uvm_sequence_base</a><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.unlock" id=link1915 onMouseOver="ShowTip(event, 'tt1910', 'link1915')" onMouseOut="HideTip('tt1910')" class=IParent>uvm_sequencer_base</a><a href="../files/dap/uvm_simple_lock_dap-svh.html#uvm_simple_lock_dap.unlock" id=link1916 onMouseOver="ShowTip(event, 'tt1911', 'link1916')" onMouseOut="HideTip('tt1911')" class=IParent>uvm_simple_lock_dap</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unpack</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.unpack" id=link1917 onMouseOver="ShowTip(event, 'tt1912', 'link1917')" onMouseOut="HideTip('tt1912')" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unpack_bits</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.unpack_bits" id=link1918 onMouseOver="ShowTip(event, 'tt1913', 'link1918')" onMouseOut="HideTip('tt1913')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unpack_bytes</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.unpack_bytes" id=link1919 onMouseOver="ShowTip(event, 'tt1914', 'link1919')" onMouseOut="HideTip('tt1914')" class=IParent>uvm_object</a><a href="../files/base/uvm_packer-svh.html#uvm_packer.unpack_bytes" id=link1920 onMouseOver="ShowTip(event, 'tt1915', 'link1920')" onMouseOut="HideTip('tt1915')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unpack_field</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.unpack_field" id=link1921 onMouseOver="ShowTip(event, 'tt1916', 'link1921')" onMouseOut="HideTip('tt1916')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unpack_field_int</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.unpack_field_int" id=link1922 onMouseOver="ShowTip(event, 'tt1917', 'link1922')" onMouseOut="HideTip('tt1917')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unpack_ints</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.unpack_ints" id=link1923 onMouseOver="ShowTip(event, 'tt1918', 'link1923')" onMouseOut="HideTip('tt1918')" class=IParent>uvm_object</a><a href="../files/base/uvm_packer-svh.html#uvm_packer.unpack_ints" id=link1924 onMouseOver="ShowTip(event, 'tt1919', 'link1924')" onMouseOut="HideTip('tt1919')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unpack_object</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.unpack_object" id=link1925 onMouseOver="ShowTip(event, 'tt1920', 'link1925')" onMouseOut="HideTip('tt1920')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unpack_real</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.unpack_real" id=link1926 onMouseOver="ShowTip(event, 'tt1921', 'link1926')" onMouseOut="HideTip('tt1921')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unpack_string</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.unpack_string" id=link1927 onMouseOver="ShowTip(event, 'tt1922', 'link1927')" onMouseOut="HideTip('tt1922')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unpack_time</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.unpack_time" id=link1928 onMouseOver="ShowTip(event, 'tt1923', 'link1928')" onMouseOut="HideTip('tt1923')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Unpacking</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.Unpacking" class=IParent>uvm_object</a><a href="../files/base/uvm_packer-svh.html#uvm_packer.Unpacking" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_object_defines-svh.html#Unpacking_Macros" id=link1929 onMouseOver="ShowTip(event, 'tt1924', 'link1929')" onMouseOut="HideTip('tt1924')" class=ISymbol>Unpacking Macros</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_object_defines-svh.html#Unpacking-No_Size_Info" class=ISymbol>Unpacking-No Size Info</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_object_defines-svh.html#Unpacking-With_Size_Info" class=ISymbol>Unpacking-With Size Info</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unsigned_radix</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.unsigned_radix" id=link1930 onMouseOver="ShowTip(event, 'tt1925', 'link1930')" onMouseOut="HideTip('tt1925')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>unsync</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase.unsync" id=link1931 onMouseOver="ShowTip(event, 'tt1926', 'link1931')" onMouseOut="HideTip('tt1926')" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>update</span><div class=ISubIndex><a href="../files/reg/uvm_reg-svh.html#uvm_reg.update" id=link1932 onMouseOver="ShowTip(event, 'tt1927', 'link1932')" onMouseOut="HideTip('tt1927')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.update" id=link1933 onMouseOver="ShowTip(event, 'tt1928', 'link1933')" onMouseOut="HideTip('tt1928')" class=IParent>uvm_reg_block</a><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.update" id=link1934 onMouseOver="ShowTip(event, 'tt1929', 'link1934')" onMouseOut="HideTip('tt1929')" class=IParent>uvm_reg_fifo</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>update_reg</span><div class=ISubIndex><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence.update_reg" id=link1935 onMouseOver="ShowTip(event, 'tt1930', 'link1935')" onMouseOut="HideTip('tt1930')" class=IParent>uvm_reg_sequence</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Usage</span><div class=ISubIndex><a href="../files/overviews/tlm1-txt.html#Usage" id=link1936 onMouseOver="ShowTip(event, 'tt1931', 'link1936')" onMouseOut="HideTip('tt1931')" class=IParent>Global</a><a href="../files/base/uvm_factory-svh.html#uvm_default_factory.Usage" id=link1937 onMouseOver="ShowTip(event, 'tt1932', 'link1937')" onMouseOut="HideTip('tt1932')" class=IParent>uvm_default_factory</a><a href="../files/base/uvm_registry-svh.html#uvm_object_registry#(T,Tname).Usage" id=link1938 onMouseOver="ShowTip(event, 'tt1933', 'link1938')" onMouseOut="HideTip('tt1933')" class=IParent>uvm_object_registry#(T,Tname)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>use_metadata</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.use_metadata" id=link1939 onMouseOver="ShowTip(event, 'tt1934', 'link1939')" onMouseOut="HideTip('tt1934')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>use_record_attribute</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.use_record_attribute" id=link1940 onMouseOver="ShowTip(event, 'tt1935', 'link1940')" onMouseOut="HideTip('tt1935')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>use_response_handler</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.use_response_handler" id=link1941 onMouseOver="ShowTip(event, 'tt1936', 'link1941')" onMouseOut="HideTip('tt1936')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>use_uvm_seeding</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.use_uvm_seeding" id=link1942 onMouseOver="ShowTip(event, 'tt1937', 'link1942')" onMouseOut="HideTip('tt1937')" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>used</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_fifo#(T).used" id=link1943 onMouseOver="ShowTip(event, 'tt1938', 'link1943')" onMouseOut="HideTip('tt1938')" class=IParent>uvm_tlm_fifo#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/test-phasing-txt.html#User-Defined_Phases" id=link1944 onMouseOver="ShowTip(event, 'tt1939', 'link1944')" onMouseOut="HideTip('tt1939')" class=ISymbol>User-Defined Phases</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>user_priority_arbitration</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.user_priority_arbitration" id=link1945 onMouseOver="ShowTip(event, 'tt1940', 'link1945')" onMouseOut="HideTip('tt1940')" class=IParent>uvm_sequencer_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_object_defines-svh.html#Utility_and_Field_Macros_for_Components_and_Objects" class=ISymbol>Utility and Field Macros for Components and Objects</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#Utility_Classes" class=ISymbol>Utility Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Utility Functions</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.Utility_Functions" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_object_defines-svh.html#Utility_Macros" id=link1946 onMouseOver="ShowTip(event, 'tt1941', 'link1946')" onMouseOut="HideTip('tt1941')" class=ISymbol>Utility Macros</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#UVM_Common_Phases" id=link1947 onMouseOver="ShowTip(event, 'tt1942', 'link1947')" onMouseOut="HideTip('tt1942')" class=ISymbol>UVM Common Phases</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_config_db-svh.html#UVM_Configuration_Database" class=ISymbol>UVM Configuration Database</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_factory-svh.html#UVM_Factory" id=link1948 onMouseOver="ShowTip(event, 'tt1943', 'link1948')" onMouseOut="HideTip('tt1943')" class=ISymbol>UVM Factory</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dpi/uvm_hdl-svh.html#UVM_HDL_Backdoor_Access_support_routines" id=link1949 onMouseOver="ShowTip(event, 'tt1944', 'link1949')" onMouseOut="HideTip('tt1944')" class=ISymbol>UVM HDL Backdoor Access support routines</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_links-svh.html#UVM_Links" id=link1950 onMouseOver="ShowTip(event, 'tt1945', 'link1950')" onMouseOut="HideTip('tt1945')" class=ISymbol>UVM Links</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_recorder-svh.html#UVM_Recorders" class=ISymbol>UVM Recorders</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_server-svh.html#UVM_Report_Server" id=link1951 onMouseOver="ShowTip(event, 'tt1946', 'link1951')" onMouseOut="HideTip('tt1946')" class=ISymbol>UVM Report Server</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_resource_db-svh.html#UVM_Resource_Database" class=ISymbol>UVM Resource Database</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_Revision_Values" id=link1952 onMouseOver="ShowTip(event, 'tt1947', 'link1952')" onMouseOut="HideTip('tt1947')" class=ISymbol>UVM Revision Values</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#UVM_Run-Time_Phases" id=link1953 onMouseOver="ShowTip(event, 'tt1948', 'link1953')" onMouseOut="HideTip('tt1948')" class=ISymbol>UVM Run-Time Phases</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_Version_Defines" class=ISymbol>UVM Version Defines</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_Version_Ladder" class=ISymbol>UVM Version Ladder</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_exports-svh.html#uvm_*_export#(REQ,RSP)" id=link1954 onMouseOver="ShowTip(event, 'tt1949', 'link1954')" onMouseOut="HideTip('tt1949')" class=ISymbol>uvm_*_export#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_exports-svh.html#uvm_*_export#(T)" id=link1955 onMouseOver="ShowTip(event, 'tt1950', 'link1955')" onMouseOut="HideTip('tt1950')" class=ISymbol>uvm_*_export#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_imps-svh.html#uvm_*_imp_ports" id=link1956 onMouseOver="ShowTip(event, 'tt1951', 'link1956')" onMouseOut="HideTip('tt1951')" class=ISymbol>uvm_*_imp ports</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_imps-svh.html#uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)" id=link1957 onMouseOver="ShowTip(event, 'tt1952', 'link1957')" onMouseOut="HideTip('tt1952')" class=ISymbol>uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_imps-svh.html#uvm_*_imp#(T,IMP)" id=link1958 onMouseOver="ShowTip(event, 'tt1953', 'link1958')" onMouseOut="HideTip('tt1953')" class=ISymbol>uvm_*_imp#(T,IMP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_ports-svh.html#uvm_*_port#(REQ,RSP)" id=link1959 onMouseOver="ShowTip(event, 'tt1954', 'link1959')" onMouseOut="HideTip('tt1954')" class=ISymbol>uvm_*_port#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_ports-svh.html#uvm_*_port#(T)" id=link1960 onMouseOver="ShowTip(event, 'tt1955', 'link1960')" onMouseOut="HideTip('tt1955')" class=ISymbol>uvm_*_port#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_access_e" id=link1961 onMouseOver="ShowTip(event, 'tt1956', 'link1961')" onMouseOut="HideTip('tt1956')" class=ISymbol>uvm_access_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_action" id=link1962 onMouseOver="ShowTip(event, 'tt1957', 'link1962')" onMouseOut="HideTip('tt1957')" class=ISymbol>uvm_action</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_ACTIVE" id=link1963 onMouseOver="ShowTip(event, 'tt1958', 'link1963')" onMouseOut="HideTip('tt1958')" class=ISymbol>UVM_ACTIVE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_active_passive_enum" id=link1964 onMouseOver="ShowTip(event, 'tt1959', 'link1964')" onMouseOut="HideTip('tt1959')" class=ISymbol>uvm_active_passive_enum</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_agent-svh.html#uvm_agent" id=link1965 onMouseOver="ShowTip(event, 'tt1960', 'link1965')" onMouseOut="HideTip('tt1960')" class=ISymbol>uvm_agent</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_algorithmic_comparator-svh.html#uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)" id=link1966 onMouseOver="ShowTip(event, 'tt1961', 'link1966')" onMouseOut="HideTip('tt1961')" class=ISymbol>uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_ALL_DROPPED" id=link1967 onMouseOver="ShowTip(event, 'tt1962', 'link1967')" onMouseOut="HideTip('tt1962')" class=ISymbol>UVM_ALL_DROPPED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_analysis_port-svh.html#uvm_analysis_export" id=link1968 onMouseOver="ShowTip(event, 'tt1963', 'link1968')" onMouseOut="HideTip('tt1963')" class=ISymbol>uvm_analysis_export</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_analysis_port-svh.html#uvm_analysis_imp" id=link1969 onMouseOver="ShowTip(event, 'tt1964', 'link1969')" onMouseOut="HideTip('tt1964')" class=ISymbol>uvm_analysis_imp</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_analysis_port-svh.html#uvm_analysis_port" id=link1970 onMouseOver="ShowTip(event, 'tt1965', 'link1970')" onMouseOut="HideTip('tt1965')" class=ISymbol>uvm_analysis_port</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_BACKDOOR" id=link1971 onMouseOver="ShowTip(event, 'tt1966', 'link1971')" onMouseOut="HideTip('tt1966')" class=ISymbol>UVM_BACKDOOR</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_barrier-svh.html#uvm_barrier" id=link1972 onMouseOver="ShowTip(event, 'tt1967', 'link1972')" onMouseOut="HideTip('tt1967')" class=ISymbol>uvm_barrier</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_BIG_ENDIAN" id=link1973 onMouseOver="ShowTip(event, 'tt1968', 'link1973')" onMouseOut="HideTip('tt1968')" class=ISymbol>UVM_BIG_ENDIAN</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_BIG_FIFO" id=link1974 onMouseOver="ShowTip(event, 'tt1969', 'link1974')" onMouseOut="HideTip('tt1969')" class=ISymbol>UVM_BIG_FIFO</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_BIN" id=link1975 onMouseOver="ShowTip(event, 'tt1970', 'link1975')" onMouseOut="HideTip('tt1970')" class=ISymbol>UVM_BIN</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_globals-svh.html#uvm_bits_to_string" id=link1976 onMouseOver="ShowTip(event, 'tt1971', 'link1976')" onMouseOut="HideTip('tt1971')" class=ISymbol>uvm_bits_to_string</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_bitstream_t" id=link1977 onMouseOver="ShowTip(event, 'tt1972', 'link1977')" onMouseOut="HideTip('tt1972')" class=ISymbol>uvm_bitstream_t</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_BODY" id=link1978 onMouseOver="ShowTip(event, 'tt1973', 'link1978')" onMouseOut="HideTip('tt1973')" class=ISymbol>UVM_BODY</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_bottom_up_visitor_adapter" id=link1979 onMouseOver="ShowTip(event, 'tt1974', 'link1979')" onMouseOut="HideTip('tt1974')" class=ISymbol>uvm_bottom_up_visitor_adapter</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_bottomup_phase-svh.html#uvm_bottomup_phase" id=link1980 onMouseOver="ShowTip(event, 'tt1975', 'link1980')" onMouseOut="HideTip('tt1975')" class=ISymbol>uvm_bottomup_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_build_phase" id=link1981 onMouseOver="ShowTip(event, 'tt1976', 'link1981')" onMouseOut="HideTip('tt1976')" class=ISymbol>uvm_build_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_built_in_clone#(T)" id=link1982 onMouseOver="ShowTip(event, 'tt1977', 'link1982')" onMouseOut="HideTip('tt1977')" class=ISymbol>uvm_built_in_clone#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_built_in_comp#(T)" id=link1983 onMouseOver="ShowTip(event, 'tt1978', 'link1983')" onMouseOut="HideTip('tt1978')" class=ISymbol>uvm_built_in_comp#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_built_in_converter#(T)" id=link1984 onMouseOver="ShowTip(event, 'tt1979', 'link1984')" onMouseOut="HideTip('tt1979')" class=ISymbol>uvm_built_in_converter#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_pair-svh.html#uvm_built_in_pair#(T1,T2)" id=link1985 onMouseOver="ShowTip(event, 'tt1980', 'link1985')" onMouseOut="HideTip('tt1980')" class=ISymbol>uvm_built_in_pair#(T1,T2)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_by_level_visitor_adapter" id=link1986 onMouseOver="ShowTip(event, 'tt1981', 'link1986')" onMouseOut="HideTip('tt1981')" class=ISymbol>uvm_by_level_visitor_adapter</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_CALL_HOOK" id=link1987 onMouseOver="ShowTip(event, 'tt1982', 'link1987')" onMouseOut="HideTip('tt1982')" class=ISymbol>UVM_CALL_HOOK</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_callback-svh.html#uvm_callback" id=link1988 onMouseOver="ShowTip(event, 'tt1983', 'link1988')" onMouseOut="HideTip('tt1983')" class=ISymbol>uvm_callback</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_callback-svh.html#uvm_callback_iter" id=link1989 onMouseOver="ShowTip(event, 'tt1984', 'link1989')" onMouseOut="HideTip('tt1984')" class=ISymbol>uvm_callback_iter</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_callback-svh.html#uvm_callbacks#(T,CB)" id=link1990 onMouseOver="ShowTip(event, 'tt1985', 'link1990')" onMouseOut="HideTip('tt1985')" class=ISymbol>uvm_callbacks#(T,CB)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_links-svh.html#uvm_cause_effect_link" id=link1991 onMouseOver="ShowTip(event, 'tt1986', 'link1991')" onMouseOut="HideTip('tt1986')" class=ISymbol>uvm_cause_effect_link</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_CHECK" id=link1992 onMouseOver="ShowTip(event, 'tt1987', 'link1992')" onMouseOut="HideTip('tt1987')" class=ISymbol>UVM_CHECK</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_check_e" id=link1993 onMouseOver="ShowTip(event, 'tt1988', 'link1993')" onMouseOut="HideTip('tt1988')" class=ISymbol>uvm_check_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_check_phase" id=link1994 onMouseOver="ShowTip(event, 'tt1989', 'link1994')" onMouseOut="HideTip('tt1989')" class=ISymbol>uvm_check_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_class_clone#(T)" id=link1995 onMouseOver="ShowTip(event, 'tt1990', 'link1995')" onMouseOut="HideTip('tt1990')" class=ISymbol>uvm_class_clone#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_class_comp#(T)" id=link1996 onMouseOver="ShowTip(event, 'tt1991', 'link1996')" onMouseOut="HideTip('tt1991')" class=ISymbol>uvm_class_comp#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_policies-svh.html#uvm_class_converter#(T)" id=link1997 onMouseOver="ShowTip(event, 'tt1992', 'link1997')" onMouseOut="HideTip('tt1992')" class=ISymbol>uvm_class_converter#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_pair-svh.html#uvm_class_pair#(T1,T2)" id=link1998 onMouseOver="ShowTip(event, 'tt1993', 'link1998')" onMouseOut="HideTip('tt1993')" class=ISymbol>uvm_class_pair#(T1,T2)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_cmdline_processor-svh.html#uvm_cmdline_processor" id=link1999 onMouseOver="ShowTip(event, 'tt1994', 'link1999')" onMouseOut="HideTip('tt1994')" class=ISymbol>uvm_cmdline_processor</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_comparer-svh.html#uvm_comparer" id=link2000 onMouseOver="ShowTip(event, 'tt1995', 'link2000')" onMouseOut="HideTip('tt1995')" class=ISymbol>uvm_comparer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_component-svh.html#uvm_component" id=link2001 onMouseOver="ShowTip(event, 'tt1996', 'link2001')" onMouseOut="HideTip('tt1996')" class=ISymbol>uvm_component</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_component_name_check_visitor" id=link2002 onMouseOver="ShowTip(event, 'tt1997', 'link2002')" onMouseOut="HideTip('tt1997')" class=ISymbol>uvm_component_name_check_visitor</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_component_proxy" id=link2003 onMouseOver="ShowTip(event, 'tt1998', 'link2003')" onMouseOut="HideTip('tt1998')" class=ISymbol>uvm_component_proxy</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_registry-svh.html#uvm_component_registry#(T,Tname)" id=link2004 onMouseOver="ShowTip(event, 'tt1999', 'link2004')" onMouseOut="HideTip('tt1999')" class=ISymbol>uvm_component_registry#(T,Tname)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_config_db-svh.html#uvm_config_db" id=link2005 onMouseOver="ShowTip(event, 'tt2000', 'link2005')" onMouseOut="HideTip('tt2000')" class=ISymbol>uvm_config_db</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_config_db-svh.html#uvm_config_db_options" id=link2006 onMouseOver="ShowTip(event, 'tt2001', 'link2006')" onMouseOut="HideTip('tt2001')" class=ISymbol>uvm_config_db_options</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_config_db-svh.html#uvm_config_int" id=link2007 onMouseOver="ShowTip(event, 'tt2002', 'link2007')" onMouseOut="HideTip('tt2002')" class=ISymbol>uvm_config_int</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_config_db-svh.html#uvm_config_object" id=link2008 onMouseOver="ShowTip(event, 'tt2003', 'link2008')" onMouseOut="HideTip('tt2003')" class=ISymbol>uvm_config_object</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_config_db-svh.html#uvm_config_string" id=link2009 onMouseOver="ShowTip(event, 'tt2004', 'link2009')" onMouseOut="HideTip('tt2004')" class=ISymbol>uvm_config_string</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_config_db-svh.html#uvm_config_wrapper" id=link2010 onMouseOver="ShowTip(event, 'tt2005', 'link2010')" onMouseOut="HideTip('tt2005')" class=ISymbol>uvm_config_wrapper</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_configure_phase" id=link2011 onMouseOver="ShowTip(event, 'tt2006', 'link2011')" onMouseOut="HideTip('tt2006')" class=ISymbol>uvm_configure_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_connect_phase" id=link2012 onMouseOver="ShowTip(event, 'tt2007', 'link2012')" onMouseOut="HideTip('tt2007')" class=ISymbol>uvm_connect_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_coreservice-svh.html#uvm_coreservice_t" id=link2013 onMouseOver="ShowTip(event, 'tt2008', 'link2013')" onMouseOut="HideTip('tt2008')" class=ISymbol>uvm_coreservice_t</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_COUNT" id=link2014 onMouseOver="ShowTip(event, 'tt2009', 'link2014')" onMouseOut="HideTip('tt2009')" class=ISymbol>UVM_COUNT</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_coverage_model_e" id=link2015 onMouseOver="ShowTip(event, 'tt2010', 'link2015')" onMouseOut="HideTip('tt2010')" class=ISymbol>uvm_coverage_model_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_CREATED" id=link2016 onMouseOver="ShowTip(event, 'tt2011', 'link2016')" onMouseOut="HideTip('tt2011')" class=ISymbol>UVM_CREATED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_CVR_ADDR_MAP" id=link2017 onMouseOver="ShowTip(event, 'tt2012', 'link2017')" onMouseOut="HideTip('tt2012')" class=ISymbol>UVM_CVR_ADDR_MAP</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_CVR_ALL" id=link2018 onMouseOver="ShowTip(event, 'tt2013', 'link2018')" onMouseOut="HideTip('tt2013')" class=ISymbol>UVM_CVR_ALL</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_CVR_FIELD_VALS" id=link2019 onMouseOver="ShowTip(event, 'tt2014', 'link2019')" onMouseOut="HideTip('tt2014')" class=ISymbol>UVM_CVR_FIELD_VALS</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_CVR_REG_BITS" id=link2020 onMouseOver="ShowTip(event, 'tt2015', 'link2020')" onMouseOut="HideTip('tt2015')" class=ISymbol>UVM_CVR_REG_BITS</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_DEC" id=link2021 onMouseOver="ShowTip(event, 'tt2016', 'link2021')" onMouseOut="HideTip('tt2016')" class=ISymbol>UVM_DEC</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_DEEP" id=link2022 onMouseOver="ShowTip(event, 'tt2017', 'link2022')" onMouseOut="HideTip('tt2017')" class=ISymbol>UVM_DEEP</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_default_comparer" id=link2023 onMouseOver="ShowTip(event, 'tt2018', 'link2023')" onMouseOut="HideTip('tt2018')" class=ISymbol>uvm_default_comparer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_coreservice-svh.html#uvm_default_coreservice_t" id=link2024 onMouseOver="ShowTip(event, 'tt2019', 'link2024')" onMouseOut="HideTip('tt2019')" class=ISymbol>uvm_default_coreservice_t</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_factory-svh.html#uvm_default_factory" id=link2025 onMouseOver="ShowTip(event, 'tt2020', 'link2025')" onMouseOut="HideTip('tt2020')" class=ISymbol>uvm_default_factory</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_default_line_printer" id=link2026 onMouseOver="ShowTip(event, 'tt2021', 'link2026')" onMouseOut="HideTip('tt2021')" class=ISymbol>uvm_default_line_printer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_default_packer" id=link2027 onMouseOver="ShowTip(event, 'tt2022', 'link2027')" onMouseOut="HideTip('tt2022')" class=ISymbol>uvm_default_packer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_DEFAULT_PATH" id=link2028 onMouseOver="ShowTip(event, 'tt2023', 'link2028')" onMouseOut="HideTip('tt2023')" class=ISymbol>UVM_DEFAULT_PATH</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_default_printer" id=link2029 onMouseOver="ShowTip(event, 'tt2024', 'link2029')" onMouseOut="HideTip('tt2024')" class=ISymbol>uvm_default_printer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server" id=link2030 onMouseOver="ShowTip(event, 'tt2025', 'link2030')" onMouseOut="HideTip('tt2025')" class=ISymbol>uvm_default_report_server</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_default_table_printer" id=link2031 onMouseOver="ShowTip(event, 'tt2026', 'link2031')" onMouseOut="HideTip('tt2026')" class=ISymbol>uvm_default_table_printer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_default_tree_printer" id=link2032 onMouseOver="ShowTip(event, 'tt2027', 'link2032')" onMouseOut="HideTip('tt2027')" class=ISymbol>uvm_default_tree_printer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_DISPLAY" id=link2033 onMouseOver="ShowTip(event, 'tt2028', 'link2033')" onMouseOut="HideTip('tt2028')" class=ISymbol>UVM_DISPLAY</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_DO_ALL_REG_MEM_TESTS" id=link2034 onMouseOver="ShowTip(event, 'tt2029', 'link2034')" onMouseOut="HideTip('tt2029')" class=ISymbol>UVM_DO_ALL_REG_MEM_TESTS</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_DO_MEM_ACCESS" id=link2035 onMouseOver="ShowTip(event, 'tt2030', 'link2035')" onMouseOut="HideTip('tt2030')" class=ISymbol>UVM_DO_MEM_ACCESS</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_DO_MEM_WALK" id=link2036 onMouseOver="ShowTip(event, 'tt2031', 'link2036')" onMouseOut="HideTip('tt2031')" class=ISymbol>UVM_DO_MEM_WALK</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_DO_REG_ACCESS" id=link2037 onMouseOver="ShowTip(event, 'tt2032', 'link2037')" onMouseOut="HideTip('tt2032')" class=ISymbol>UVM_DO_REG_ACCESS</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_DO_REG_BIT_BASH" id=link2038 onMouseOver="ShowTip(event, 'tt2033', 'link2038')" onMouseOut="HideTip('tt2033')" class=ISymbol>UVM_DO_REG_BIT_BASH</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_DO_REG_HW_RESET" id=link2039 onMouseOver="ShowTip(event, 'tt2034', 'link2039')" onMouseOut="HideTip('tt2034')" class=ISymbol>UVM_DO_REG_HW_RESET</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_DO_SHARED_ACCESS" id=link2040 onMouseOver="ShowTip(event, 'tt2035', 'link2040')" onMouseOut="HideTip('tt2035')" class=ISymbol>UVM_DO_SHARED_ACCESS</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_domain-svh.html#uvm_domain" id=link2041 onMouseOver="ShowTip(event, 'tt2036', 'link2041')" onMouseOut="HideTip('tt2036')" class=ISymbol>uvm_domain</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_driver-svh.html#uvm_driver#(REQ,RSP)" id=link2042 onMouseOver="ShowTip(event, 'tt2037', 'link2042')" onMouseOut="HideTip('tt2037')" class=ISymbol>uvm_driver#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_DROPPED" id=link2043 onMouseOver="ShowTip(event, 'tt2038', 'link2043')" onMouseOut="HideTip('tt2038')" class=ISymbol>UVM_DROPPED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_elem_kind_e" id=link2044 onMouseOver="ShowTip(event, 'tt2039', 'link2044')" onMouseOut="HideTip('tt2039')" class=ISymbol>uvm_elem_kind_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_end_of_elaboration_phase" id=link2045 onMouseOver="ShowTip(event, 'tt2040', 'link2045')" onMouseOut="HideTip('tt2040')" class=ISymbol>uvm_end_of_elaboration_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_ENDED" id=link2046 onMouseOver="ShowTip(event, 'tt2041', 'link2046')" onMouseOut="HideTip('tt2041')" class=ISymbol>UVM_ENDED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_endianness_e" id=link2047 onMouseOver="ShowTip(event, 'tt2042', 'link2047')" onMouseOut="HideTip('tt2042')" class=ISymbol>uvm_endianness_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_ENUM" id=link2048 onMouseOver="ShowTip(event, 'tt2043', 'link2048')" onMouseOut="HideTip('tt2043')" class=ISymbol>UVM_ENUM</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_globals-svh.html#uvm_enum_wrapper#(T)" id=link2049 onMouseOver="ShowTip(event, 'tt2044', 'link2049')" onMouseOut="HideTip('tt2044')" class=ISymbol>uvm_enum_wrapper#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_env-svh.html#uvm_env" id=link2050 onMouseOver="ShowTip(event, 'tt2045', 'link2050')" onMouseOut="HideTip('tt2045')" class=ISymbol>uvm_env</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_EQ" id=link2051 onMouseOver="ShowTip(event, 'tt2046', 'link2051')" onMouseOut="HideTip('tt2046')" class=ISymbol>UVM_EQ</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_ERROR" id=link2052 onMouseOver="ShowTip(event, 'tt2047', 'link2052')" onMouseOut="HideTip('tt2047')" class=ISymbol>UVM_ERROR</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_event-svh.html#uvm_event#(T)" id=link2053 onMouseOver="ShowTip(event, 'tt2048', 'link2053')" onMouseOut="HideTip('tt2048')" class=ISymbol>uvm_event#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_event-svh.html#uvm_event_base" id=link2054 onMouseOver="ShowTip(event, 'tt2049', 'link2054')" onMouseOut="HideTip('tt2049')" class=ISymbol>uvm_event_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_event_callback-svh.html#uvm_event_callback" id=link2055 onMouseOver="ShowTip(event, 'tt2050', 'link2055')" onMouseOut="HideTip('tt2050')" class=ISymbol>uvm_event_callback</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_EXIT" id=link2056 onMouseOver="ShowTip(event, 'tt2051', 'link2056')" onMouseOut="HideTip('tt2051')" class=ISymbol>UVM_EXIT</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_EXPORT" id=link2057 onMouseOver="ShowTip(event, 'tt2052', 'link2057')" onMouseOut="HideTip('tt2052')" class=ISymbol>UVM_EXPORT</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_extract_phase" id=link2058 onMouseOver="ShowTip(event, 'tt2053', 'link2058')" onMouseOut="HideTip('tt2053')" class=ISymbol>uvm_extract_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_factory-svh.html#uvm_factory" id=link2059 onMouseOver="ShowTip(event, 'tt2054', 'link2059')" onMouseOut="HideTip('tt2054')" class=ISymbol>uvm_factory</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_FATAL" id=link2060 onMouseOver="ShowTip(event, 'tt2055', 'link2060')" onMouseOut="HideTip('tt2055')" class=ISymbol>UVM_FATAL</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_FIELD" id=link2061 onMouseOver="ShowTip(event, 'tt2056', 'link2061')" onMouseOut="HideTip('tt2056')" class=ISymbol>UVM_FIELD</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_final_phase" id=link2062 onMouseOver="ShowTip(event, 'tt2057', 'link2062')" onMouseOut="HideTip('tt2057')" class=ISymbol>uvm_final_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_FINISHED" id=link2063 onMouseOver="ShowTip(event, 'tt2058', 'link2063')" onMouseOut="HideTip('tt2058')" class=ISymbol>UVM_FINISHED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_FIX_REV" id=link2064 onMouseOver="ShowTip(event, 'tt2059', 'link2064')" onMouseOut="HideTip('tt2059')" class=ISymbol>UVM_FIX_REV</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_FRONTDOOR" id=link2065 onMouseOver="ShowTip(event, 'tt2060', 'link2065')" onMouseOut="HideTip('tt2060')" class=ISymbol>UVM_FRONTDOOR</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_FULL" id=link2066 onMouseOver="ShowTip(event, 'tt2061', 'link2066')" onMouseOut="HideTip('tt2061')" class=ISymbol>UVM_FULL</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_get_report_object</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#uvm_get_report_object" id=link2067 onMouseOver="ShowTip(event, 'tt2062', 'link2067')" onMouseOut="HideTip('tt2062')" class=IParent>Global</a><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.uvm_get_report_object" id=link2068 onMouseOver="ShowTip(event, 'tt2063', 'link2068')" onMouseOut="HideTip('tt2063')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dap/uvm_get_to_lock_dap-svh.html#uvm_get_to_lock_dap" id=link2069 onMouseOver="ShowTip(event, 'tt2064', 'link2069')" onMouseOut="HideTip('tt2064')" class=ISymbol>uvm_get_to_lock_dap</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_GT" id=link2070 onMouseOver="ShowTip(event, 'tt2065', 'link2070')" onMouseOut="HideTip('tt2065')" class=ISymbol>UVM_GT</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_GTE" id=link2071 onMouseOver="ShowTip(event, 'tt2066', 'link2071')" onMouseOut="HideTip('tt2066')" class=ISymbol>UVM_GTE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_HAS_X" id=link2072 onMouseOver="ShowTip(event, 'tt2067', 'link2072')" onMouseOut="HideTip('tt2067')" class=ISymbol>UVM_HAS_X</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dpi/uvm_hdl-svh.html#uvm_hdl_check_path" id=link2073 onMouseOver="ShowTip(event, 'tt2068', 'link2073')" onMouseOut="HideTip('tt2068')" class=ISymbol>uvm_hdl_check_path</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dpi/uvm_hdl-svh.html#uvm_hdl_deposit" id=link2074 onMouseOver="ShowTip(event, 'tt2069', 'link2074')" onMouseOut="HideTip('tt2069')" class=ISymbol>uvm_hdl_deposit</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dpi/uvm_hdl-svh.html#uvm_hdl_force" id=link2075 onMouseOver="ShowTip(event, 'tt2070', 'link2075')" onMouseOut="HideTip('tt2070')" class=ISymbol>uvm_hdl_force</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dpi/uvm_hdl-svh.html#uvm_hdl_force_time" id=link2076 onMouseOver="ShowTip(event, 'tt2071', 'link2076')" onMouseOut="HideTip('tt2071')" class=ISymbol>uvm_hdl_force_time</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dpi/uvm_hdl-svh.html#UVM_HDL_MAX_WIDTH" id=link2077 onMouseOver="ShowTip(event, 'tt2072', 'link2077')" onMouseOut="HideTip('tt2072')" class=ISymbol>UVM_HDL_MAX_WIDTH</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_hdl_path_concat" id=link2078 onMouseOver="ShowTip(event, 'tt2073', 'link2078')" onMouseOut="HideTip('tt2073')" class=ISymbol>uvm_hdl_path_concat</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_hdl_path_slice" id=link2079 onMouseOver="ShowTip(event, 'tt2074', 'link2079')" onMouseOut="HideTip('tt2074')" class=ISymbol>uvm_hdl_path_slice</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dpi/uvm_hdl-svh.html#uvm_hdl_read" id=link2080 onMouseOver="ShowTip(event, 'tt2075', 'link2080')" onMouseOut="HideTip('tt2075')" class=ISymbol>uvm_hdl_read</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dpi/uvm_hdl-svh.html#uvm_hdl_release" id=link2081 onMouseOver="ShowTip(event, 'tt2076', 'link2081')" onMouseOut="HideTip('tt2076')" class=ISymbol>uvm_hdl_release</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dpi/uvm_hdl-svh.html#uvm_hdl_release_and_read" id=link2082 onMouseOver="ShowTip(event, 'tt2077', 'link2082')" onMouseOut="HideTip('tt2077')" class=ISymbol>uvm_hdl_release_and_read</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_heartbeat-svh.html#uvm_heartbeat" id=link2083 onMouseOver="ShowTip(event, 'tt2078', 'link2083')" onMouseOut="HideTip('tt2078')" class=ISymbol>uvm_heartbeat</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_HEX" id=link2084 onMouseOver="ShowTip(event, 'tt2079', 'link2084')" onMouseOut="HideTip('tt2079')" class=ISymbol>UVM_HEX</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_HIER" id=link2085 onMouseOver="ShowTip(event, 'tt2080', 'link2085')" onMouseOut="HideTip('tt2080')" class=ISymbol>UVM_HIER</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_hier_e" id=link2086 onMouseOver="ShowTip(event, 'tt2081', 'link2086')" onMouseOut="HideTip('tt2081')" class=ISymbol>uvm_hier_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_HIGH" id=link2087 onMouseOver="ShowTip(event, 'tt2082', 'link2087')" onMouseOut="HideTip('tt2082')" class=ISymbol>UVM_HIGH</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_IMPLEMENTATION" id=link2088 onMouseOver="ShowTip(event, 'tt2083', 'link2088')" onMouseOut="HideTip('tt2083')" class=ISymbol>UVM_IMPLEMENTATION</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_in_order_comparator-svh.html#uvm_in_order_built_in_comparator#(T)" id=link2089 onMouseOver="ShowTip(event, 'tt2084', 'link2089')" onMouseOut="HideTip('tt2084')" class=ISymbol>uvm_in_order_built_in_comparator#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_in_order_comparator-svh.html#uvm_in_order_class_comparator#(T)" id=link2090 onMouseOver="ShowTip(event, 'tt2085', 'link2090')" onMouseOut="HideTip('tt2085')" class=ISymbol>uvm_in_order_class_comparator#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_in_order_comparator-svh.html#uvm_in_order_comparator#(T,comp_type,convert,pair_type)" id=link2091 onMouseOver="ShowTip(event, 'tt2086', 'link2091')" onMouseOut="HideTip('tt2086')" class=ISymbol>uvm_in_order_comparator#(T,comp_type,convert,pair_type)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_INFO" id=link2092 onMouseOver="ShowTip(event, 'tt2087', 'link2092')" onMouseOut="HideTip('tt2087')" class=ISymbol>UVM_INFO</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_integral_t" id=link2093 onMouseOver="ShowTip(event, 'tt2088', 'link2093')" onMouseOut="HideTip('tt2088')" class=ISymbol>uvm_integral_t</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_globals-svh.html#uvm_is_match" id=link2094 onMouseOver="ShowTip(event, 'tt2089', 'link2094')" onMouseOut="HideTip('tt2089')" class=ISymbol>uvm_is_match</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_IS_OK" id=link2095 onMouseOver="ShowTip(event, 'tt2090', 'link2095')" onMouseOut="HideTip('tt2090')" class=ISymbol>UVM_IS_OK</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_printer-svh.html#uvm_line_printer" id=link2096 onMouseOver="ShowTip(event, 'tt2091', 'link2096')" onMouseOut="HideTip('tt2091')" class=ISymbol>uvm_line_printer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_links-svh.html#uvm_link_base" id=link2097 onMouseOver="ShowTip(event, 'tt2092', 'link2097')" onMouseOut="HideTip('tt2092')" class=ISymbol>uvm_link_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_LITTLE_ENDIAN" id=link2098 onMouseOver="ShowTip(event, 'tt2093', 'link2098')" onMouseOut="HideTip('tt2093')" class=ISymbol>UVM_LITTLE_ENDIAN</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_LITTLE_FIFO" id=link2099 onMouseOver="ShowTip(event, 'tt2094', 'link2099')" onMouseOut="HideTip('tt2094')" class=ISymbol>UVM_LITTLE_FIFO</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_LOG" id=link2100 onMouseOver="ShowTip(event, 'tt2095', 'link2100')" onMouseOut="HideTip('tt2095')" class=ISymbol>UVM_LOG</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_LOW" id=link2101 onMouseOver="ShowTip(event, 'tt2096', 'link2101')" onMouseOut="HideTip('tt2096')" class=ISymbol>UVM_LOW</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_LT" id=link2102 onMouseOver="ShowTip(event, 'tt2097', 'link2102')" onMouseOut="HideTip('tt2097')" class=ISymbol>UVM_LT</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_LTE" id=link2103 onMouseOver="ShowTip(event, 'tt2098', 'link2103')" onMouseOut="HideTip('tt2098')" class=ISymbol>UVM_LTE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_main_phase" id=link2104 onMouseOver="ShowTip(event, 'tt2099', 'link2104')" onMouseOut="HideTip('tt2099')" class=ISymbol>uvm_main_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_MAJOR_REV" id=link2105 onMouseOver="ShowTip(event, 'tt2100', 'link2105')" onMouseOut="HideTip('tt2100')" class=ISymbol>UVM_MAJOR_REV</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_MAJOR_REV_1" id=link2106 onMouseOver="ShowTip(event, 'tt2101', 'link2106')" onMouseOut="HideTip('tt2101')" class=ISymbol>UVM_MAJOR_REV_1</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_MEDIUM" id=link2107 onMouseOver="ShowTip(event, 'tt2102', 'link2107')" onMouseOut="HideTip('tt2102')" class=ISymbol>UVM_MEDIUM</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_mem-svh.html#uvm_mem" id=link2108 onMouseOver="ShowTip(event, 'tt2103', 'link2108')" onMouseOut="HideTip('tt2103')" class=ISymbol>uvm_mem</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_MEM" id=link2109 onMouseOver="ShowTip(event, 'tt2104', 'link2109')" onMouseOut="HideTip('tt2104')" class=ISymbol>UVM_MEM</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_mem_access_seq-svh.html#uvm_mem_access_seq" id=link2110 onMouseOver="ShowTip(event, 'tt2105', 'link2110')" onMouseOut="HideTip('tt2105')" class=ISymbol>uvm_mem_access_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_mem_cb" id=link2111 onMouseOver="ShowTip(event, 'tt2106', 'link2111')" onMouseOut="HideTip('tt2106')" class=ISymbol>uvm_mem_cb</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_mem_cb_iter" id=link2112 onMouseOver="ShowTip(event, 'tt2107', 'link2112')" onMouseOut="HideTip('tt2107')" class=ISymbol>uvm_mem_cb_iter</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam" id=link2113 onMouseOver="ShowTip(event, 'tt2108', 'link2113')" onMouseOut="HideTip('tt2108')" class=ISymbol>uvm_mem_mam</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_cfg" id=link2114 onMouseOver="ShowTip(event, 'tt2109', 'link2114')" onMouseOut="HideTip('tt2109')" class=ISymbol>uvm_mem_mam_cfg</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_policy" id=link2115 onMouseOver="ShowTip(event, 'tt2110', 'link2115')" onMouseOut="HideTip('tt2110')" class=ISymbol>uvm_mem_mam_policy</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_region" id=link2116 onMouseOver="ShowTip(event, 'tt2111', 'link2116')" onMouseOut="HideTip('tt2111')" class=ISymbol>uvm_mem_region</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_mem_shared_access_seq" id=link2117 onMouseOver="ShowTip(event, 'tt2112', 'link2117')" onMouseOut="HideTip('tt2112')" class=ISymbol>uvm_mem_shared_access_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_mem_access_seq-svh.html#uvm_mem_single_access_seq" id=link2118 onMouseOver="ShowTip(event, 'tt2113', 'link2118')" onMouseOut="HideTip('tt2113')" class=ISymbol>uvm_mem_single_access_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#uvm_mem_single_walk_seq" id=link2119 onMouseOver="ShowTip(event, 'tt2114', 'link2119')" onMouseOut="HideTip('tt2114')" class=ISymbol>uvm_mem_single_walk_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#uvm_mem_walk_seq" id=link2120 onMouseOver="ShowTip(event, 'tt2115', 'link2120')" onMouseOut="HideTip('tt2115')" class=ISymbol>uvm_mem_walk_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_MINOR_REV" id=link2121 onMouseOver="ShowTip(event, 'tt2116', 'link2121')" onMouseOut="HideTip('tt2116')" class=ISymbol>UVM_MINOR_REV</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_MINOR_REV_2" id=link2122 onMouseOver="ShowTip(event, 'tt2117', 'link2122')" onMouseOut="HideTip('tt2117')" class=ISymbol>UVM_MINOR_REV_2</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_monitor-svh.html#uvm_monitor" id=link2123 onMouseOver="ShowTip(event, 'tt2118', 'link2123')" onMouseOut="HideTip('tt2118')" class=ISymbol>uvm_monitor</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_NAME" id=link2124 onMouseOver="ShowTip(event, 'tt2119', 'link2124')" onMouseOut="HideTip('tt2119')" class=ISymbol>UVM_NAME</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_NE" id=link2125 onMouseOver="ShowTip(event, 'tt2120', 'link2125')" onMouseOut="HideTip('tt2120')" class=ISymbol>UVM_NE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_NO_ACTION" id=link2126 onMouseOver="ShowTip(event, 'tt2121', 'link2126')" onMouseOut="HideTip('tt2121')" class=ISymbol>UVM_NO_ACTION</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_NO_CHECK" id=link2127 onMouseOver="ShowTip(event, 'tt2122', 'link2127')" onMouseOut="HideTip('tt2122')" class=ISymbol>UVM_NO_CHECK</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_NO_COVERAGE" id=link2128 onMouseOver="ShowTip(event, 'tt2123', 'link2128')" onMouseOut="HideTip('tt2123')" class=ISymbol>UVM_NO_COVERAGE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_NO_ENDIAN" id=link2129 onMouseOver="ShowTip(event, 'tt2124', 'link2129')" onMouseOut="HideTip('tt2124')" class=ISymbol>UVM_NO_ENDIAN</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_NO_HIER" id=link2130 onMouseOver="ShowTip(event, 'tt2125', 'link2130')" onMouseOut="HideTip('tt2125')" class=ISymbol>UVM_NO_HIER</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_NONE" id=link2131 onMouseOver="ShowTip(event, 'tt2126', 'link2131')" onMouseOut="HideTip('tt2126')" class=ISymbol>UVM_NONE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_NOT_OK" id=link2132 onMouseOver="ShowTip(event, 'tt2127', 'link2132')" onMouseOut="HideTip('tt2127')" class=ISymbol>UVM_NOT_OK</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object-svh.html#uvm_object" id=link2133 onMouseOver="ShowTip(event, 'tt2128', 'link2133')" onMouseOut="HideTip('tt2128')" class=ISymbol>uvm_object</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_registry-svh.html#uvm_object_registry#(T,Tname)" id=link2134 onMouseOver="ShowTip(event, 'tt2129', 'link2134')" onMouseOut="HideTip('tt2129')" class=ISymbol>uvm_object_registry#(T,Tname)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_pool-svh.html#uvm_object_string_pool#(T)" id=link2135 onMouseOver="ShowTip(event, 'tt2130', 'link2135')" onMouseOut="HideTip('tt2130')" class=ISymbol>uvm_object_string_pool#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_factory-svh.html#uvm_object_wrapper" id=link2136 onMouseOver="ShowTip(event, 'tt2131', 'link2136')" onMouseOut="HideTip('tt2131')" class=ISymbol>uvm_object_wrapper</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_objection-svh.html#uvm_objection" id=link2137 onMouseOver="ShowTip(event, 'tt2132', 'link2137')" onMouseOut="HideTip('tt2132')" class=ISymbol>uvm_objection</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_objection-svh.html#uvm_objection_callback" id=link2138 onMouseOver="ShowTip(event, 'tt2133', 'link2138')" onMouseOut="HideTip('tt2133')" class=ISymbol>uvm_objection_callback</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_objection_event" id=link2139 onMouseOver="ShowTip(event, 'tt2134', 'link2139')" onMouseOut="HideTip('tt2134')" class=ISymbol>uvm_objection_event</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_OCT" id=link2140 onMouseOver="ShowTip(event, 'tt2135', 'link2140')" onMouseOut="HideTip('tt2135')" class=ISymbol>UVM_OCT</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_packer-svh.html#uvm_packer" id=link2141 onMouseOver="ShowTip(event, 'tt2136', 'link2141')" onMouseOut="HideTip('tt2136')" class=ISymbol>uvm_packer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_pair-svh.html#uvm_pair_classes" id=link2142 onMouseOver="ShowTip(event, 'tt2137', 'link2142')" onMouseOut="HideTip('tt2137')" class=ISymbol>uvm_pair classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_links-svh.html#uvm_parent_child_link" id=link2143 onMouseOver="ShowTip(event, 'tt2138', 'link2143')" onMouseOut="HideTip('tt2138')" class=ISymbol>uvm_parent_child_link</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PASSIVE" id=link2144 onMouseOver="ShowTip(event, 'tt2139', 'link2144')" onMouseOut="HideTip('tt2139')" class=ISymbol>UVM_PASSIVE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_path_e" id=link2145 onMouseOver="ShowTip(event, 'tt2140', 'link2145')" onMouseOut="HideTip('tt2140')" class=ISymbol>uvm_path_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_phase-svh.html#uvm_phase" id=link2146 onMouseOver="ShowTip(event, 'tt2141', 'link2146')" onMouseOut="HideTip('tt2141')" class=ISymbol>uvm_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_phase-svh.html#uvm_phase_cb" id=link2147 onMouseOver="ShowTip(event, 'tt2142', 'link2147')" onMouseOut="HideTip('tt2142')" class=ISymbol>uvm_phase_cb</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_phase-svh.html#uvm_phase_cb_pool" id=link2148 onMouseOver="ShowTip(event, 'tt2143', 'link2148')" onMouseOut="HideTip('tt2143')" class=ISymbol>uvm_phase_cb_pool</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_CLEANUP" id=link2149 onMouseOver="ShowTip(event, 'tt2144', 'link2149')" onMouseOut="HideTip('tt2144')" class=ISymbol>UVM_PHASE_CLEANUP</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_DOMAIN" id=link2150 onMouseOver="ShowTip(event, 'tt2145', 'link2150')" onMouseOut="HideTip('tt2145')" class=ISymbol>UVM_PHASE_DOMAIN</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_DONE" id=link2151 onMouseOver="ShowTip(event, 'tt2146', 'link2151')" onMouseOut="HideTip('tt2146')" class=ISymbol>UVM_PHASE_DONE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_DORMANT" id=link2152 onMouseOver="ShowTip(event, 'tt2147', 'link2152')" onMouseOut="HideTip('tt2147')" class=ISymbol>UVM_PHASE_DORMANT</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_ENDED" id=link2153 onMouseOver="ShowTip(event, 'tt2148', 'link2153')" onMouseOut="HideTip('tt2148')" class=ISymbol>UVM_PHASE_ENDED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_EXECUTING" id=link2154 onMouseOver="ShowTip(event, 'tt2149', 'link2154')" onMouseOut="HideTip('tt2149')" class=ISymbol>UVM_PHASE_EXECUTING</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_IMP" id=link2155 onMouseOver="ShowTip(event, 'tt2150', 'link2155')" onMouseOut="HideTip('tt2150')" class=ISymbol>UVM_PHASE_IMP</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_JUMPING" id=link2156 onMouseOver="ShowTip(event, 'tt2151', 'link2156')" onMouseOut="HideTip('tt2151')" class=ISymbol>UVM_PHASE_JUMPING</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_NODE" id=link2157 onMouseOver="ShowTip(event, 'tt2152', 'link2157')" onMouseOut="HideTip('tt2152')" class=ISymbol>UVM_PHASE_NODE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_READY_TO_END" id=link2158 onMouseOver="ShowTip(event, 'tt2153', 'link2158')" onMouseOut="HideTip('tt2153')" class=ISymbol>UVM_PHASE_READY_TO_END</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_SCHEDULE" id=link2159 onMouseOver="ShowTip(event, 'tt2154', 'link2159')" onMouseOut="HideTip('tt2154')" class=ISymbol>UVM_PHASE_SCHEDULE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_SCHEDULED" id=link2160 onMouseOver="ShowTip(event, 'tt2155', 'link2160')" onMouseOut="HideTip('tt2155')" class=ISymbol>UVM_PHASE_SCHEDULED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_STARTED" id=link2161 onMouseOver="ShowTip(event, 'tt2156', 'link2161')" onMouseOut="HideTip('tt2156')" class=ISymbol>UVM_PHASE_STARTED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_phase_state" id=link2162 onMouseOver="ShowTip(event, 'tt2157', 'link2162')" onMouseOut="HideTip('tt2157')" class=ISymbol>uvm_phase_state</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_phase-svh.html#uvm_phase_state_change" id=link2163 onMouseOver="ShowTip(event, 'tt2158', 'link2163')" onMouseOut="HideTip('tt2158')" class=ISymbol>uvm_phase_state_change</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_SYNCING" id=link2164 onMouseOver="ShowTip(event, 'tt2159', 'link2164')" onMouseOut="HideTip('tt2159')" class=ISymbol>UVM_PHASE_SYNCING</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_TERMINAL" id=link2165 onMouseOver="ShowTip(event, 'tt2160', 'link2165')" onMouseOut="HideTip('tt2160')" class=ISymbol>UVM_PHASE_TERMINAL</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_phase_type" id=link2166 onMouseOver="ShowTip(event, 'tt2161', 'link2166')" onMouseOut="HideTip('tt2161')" class=ISymbol>uvm_phase_type</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PHASE_UNINITIALIZED" id=link2167 onMouseOver="ShowTip(event, 'tt2162', 'link2167')" onMouseOut="HideTip('tt2162')" class=ISymbol>UVM_PHASE_UNINITIALIZED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_pool-svh.html#uvm_pool#(KEY,T)" id=link2168 onMouseOver="ShowTip(event, 'tt2163', 'link2168')" onMouseOut="HideTip('tt2163')" class=ISymbol>uvm_pool#(KEY,T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PORT" id=link2169 onMouseOver="ShowTip(event, 'tt2164', 'link2169')" onMouseOut="HideTip('tt2164')" class=ISymbol>UVM_PORT</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF)" id=link2170 onMouseOver="ShowTip(event, 'tt2165', 'link2170')" onMouseOut="HideTip('tt2165')" class=ISymbol>uvm_port_base#(IF)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_port_base-svh.html#uvm_port_component#(PORT)" id=link2171 onMouseOver="ShowTip(event, 'tt2166', 'link2171')" onMouseOut="HideTip('tt2166')" class=ISymbol>uvm_port_component#(PORT)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_port_base-svh.html#uvm_port_component_base" id=link2172 onMouseOver="ShowTip(event, 'tt2167', 'link2172')" onMouseOut="HideTip('tt2167')" class=ISymbol>uvm_port_component_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_port_type_e" id=link2173 onMouseOver="ShowTip(event, 'tt2168', 'link2173')" onMouseOut="HideTip('tt2168')" class=ISymbol>uvm_port_type_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_POST_BODY" id=link2174 onMouseOver="ShowTip(event, 'tt2169', 'link2174')" onMouseOut="HideTip('tt2169')" class=ISymbol>UVM_POST_BODY</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_configure_phase" id=link2175 onMouseOver="ShowTip(event, 'tt2170', 'link2175')" onMouseOut="HideTip('tt2170')" class=ISymbol>uvm_post_configure_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_main_phase" id=link2176 onMouseOver="ShowTip(event, 'tt2171', 'link2176')" onMouseOut="HideTip('tt2171')" class=ISymbol>uvm_post_main_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_reset_phase" id=link2177 onMouseOver="ShowTip(event, 'tt2172', 'link2177')" onMouseOut="HideTip('tt2172')" class=ISymbol>uvm_post_reset_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_shutdown_phase" id=link2178 onMouseOver="ShowTip(event, 'tt2173', 'link2178')" onMouseOut="HideTip('tt2173')" class=ISymbol>uvm_post_shutdown_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_POST_START" id=link2179 onMouseOver="ShowTip(event, 'tt2174', 'link2179')" onMouseOut="HideTip('tt2174')" class=ISymbol>UVM_POST_START</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_POST_VERSION_1_1" id=link2180 onMouseOver="ShowTip(event, 'tt2175', 'link2180')" onMouseOut="HideTip('tt2175')" class=ISymbol>UVM_POST_VERSION_1_1</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PRE_BODY" id=link2181 onMouseOver="ShowTip(event, 'tt2176', 'link2181')" onMouseOut="HideTip('tt2176')" class=ISymbol>UVM_PRE_BODY</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_configure_phase" id=link2182 onMouseOver="ShowTip(event, 'tt2177', 'link2182')" onMouseOut="HideTip('tt2177')" class=ISymbol>uvm_pre_configure_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_main_phase" id=link2183 onMouseOver="ShowTip(event, 'tt2178', 'link2183')" onMouseOut="HideTip('tt2178')" class=ISymbol>uvm_pre_main_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_reset_phase" id=link2184 onMouseOver="ShowTip(event, 'tt2179', 'link2184')" onMouseOut="HideTip('tt2179')" class=ISymbol>uvm_pre_reset_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_shutdown_phase" id=link2185 onMouseOver="ShowTip(event, 'tt2180', 'link2185')" onMouseOut="HideTip('tt2180')" class=ISymbol>uvm_pre_shutdown_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_PRE_START" id=link2186 onMouseOver="ShowTip(event, 'tt2181', 'link2186')" onMouseOut="HideTip('tt2181')" class=ISymbol>UVM_PRE_START</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_PREDICT" id=link2187 onMouseOver="ShowTip(event, 'tt2182', 'link2187')" onMouseOut="HideTip('tt2182')" class=ISymbol>UVM_PREDICT</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_PREDICT_DIRECT" id=link2188 onMouseOver="ShowTip(event, 'tt2183', 'link2188')" onMouseOut="HideTip('tt2183')" class=ISymbol>UVM_PREDICT_DIRECT</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_predict_e" id=link2189 onMouseOver="ShowTip(event, 'tt2184', 'link2189')" onMouseOut="HideTip('tt2184')" class=ISymbol>uvm_predict_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_PREDICT_READ" id=link2190 onMouseOver="ShowTip(event, 'tt2185', 'link2190')" onMouseOut="HideTip('tt2185')" class=ISymbol>UVM_PREDICT_READ</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_PREDICT_WRITE" id=link2191 onMouseOver="ShowTip(event, 'tt2186', 'link2191')" onMouseOut="HideTip('tt2186')" class=ISymbol>UVM_PREDICT_WRITE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_printer-svh.html#uvm_printer" id=link2192 onMouseOver="ShowTip(event, 'tt2187', 'link2192')" onMouseOut="HideTip('tt2187')" class=ISymbol>uvm_printer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs" id=link2193 onMouseOver="ShowTip(event, 'tt2188', 'link2193')" onMouseOut="HideTip('tt2188')" class=ISymbol>uvm_printer_knobs</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_process_report_message</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#uvm_process_report_message" id=link2194 onMouseOver="ShowTip(event, 'tt2189', 'link2194')" onMouseOut="HideTip('tt2189')" class=IParent>Global</a><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.uvm_process_report_message" id=link2195 onMouseOver="ShowTip(event, 'tt2190', 'link2195')" onMouseOut="HideTip('tt2190')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_push_driver-svh.html#uvm_push_driver#(REQ,RSP)" id=link2196 onMouseOver="ShowTip(event, 'tt2191', 'link2196')" onMouseOut="HideTip('tt2191')" class=ISymbol>uvm_push_driver#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/seq/uvm_push_sequencer-svh.html#uvm_push_sequencer#(REQ,RSP)" id=link2197 onMouseOver="ShowTip(event, 'tt2192', 'link2197')" onMouseOut="HideTip('tt2192')" class=ISymbol>uvm_push_sequencer#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_queue-svh.html#uvm_queue#(T)" id=link2198 onMouseOver="ShowTip(event, 'tt2193', 'link2198')" onMouseOut="HideTip('tt2193')" class=ISymbol>uvm_queue#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_radix_enum" id=link2199 onMouseOver="ShowTip(event, 'tt2194', 'link2199')" onMouseOut="HideTip('tt2194')" class=ISymbol>uvm_radix_enum</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_RAISED" id=link2200 onMouseOver="ShowTip(event, 'tt2195', 'link2200')" onMouseOut="HideTip('tt2195')" class=ISymbol>UVM_RAISED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_random_stimulus-svh.html#uvm_random_stimulus#(T)" id=link2201 onMouseOver="ShowTip(event, 'tt2196', 'link2201')" onMouseOut="HideTip('tt2196')" class=ISymbol>uvm_random_stimulus#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_READ" id=link2202 onMouseOver="ShowTip(event, 'tt2197', 'link2202')" onMouseOut="HideTip('tt2197')" class=ISymbol>UVM_READ</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_REAL" id=link2203 onMouseOver="ShowTip(event, 'tt2198', 'link2203')" onMouseOut="HideTip('tt2198')" class=ISymbol>UVM_REAL</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_REAL_DEC" id=link2204 onMouseOver="ShowTip(event, 'tt2199', 'link2204')" onMouseOut="HideTip('tt2199')" class=ISymbol>UVM_REAL_DEC</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_REAL_EXP" id=link2205 onMouseOver="ShowTip(event, 'tt2200', 'link2205')" onMouseOut="HideTip('tt2200')" class=ISymbol>UVM_REAL_EXP</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_recorder-svh.html#uvm_recorder" id=link2206 onMouseOver="ShowTip(event, 'tt2201', 'link2206')" onMouseOut="HideTip('tt2201')" class=ISymbol>uvm_recorder</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_recursion_policy_enum" id=link2207 onMouseOver="ShowTip(event, 'tt2202', 'link2207')" onMouseOut="HideTip('tt2202')" class=ISymbol>uvm_recursion_policy_enum</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_REFERENCE" id=link2208 onMouseOver="ShowTip(event, 'tt2203', 'link2208')" onMouseOut="HideTip('tt2203')" class=ISymbol>UVM_REFERENCE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg-svh.html#uvm_reg" id=link2209 onMouseOver="ShowTip(event, 'tt2204', 'link2209')" onMouseOut="HideTip('tt2204')" class=ISymbol>uvm_reg</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_REG" id=link2210 onMouseOver="ShowTip(event, 'tt2205', 'link2210')" onMouseOut="HideTip('tt2205')" class=ISymbol>UVM_REG</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#uvm_reg_access_seq" id=link2211 onMouseOver="ShowTip(event, 'tt2206', 'link2211')" onMouseOut="HideTip('tt2206')" class=ISymbol>uvm_reg_access_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_adapter-svh.html#uvm_reg_adapter" id=link2212 onMouseOver="ShowTip(event, 'tt2207', 'link2212')" onMouseOut="HideTip('tt2207')" class=ISymbol>uvm_reg_adapter</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_reg_addr_logic_t" id=link2213 onMouseOver="ShowTip(event, 'tt2208', 'link2213')" onMouseOut="HideTip('tt2208')" class=ISymbol>uvm_reg_addr_logic_t</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_reg_addr_t" id=link2214 onMouseOver="ShowTip(event, 'tt2209', 'link2214')" onMouseOut="HideTip('tt2209')" class=ISymbol>uvm_reg_addr_t</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_backdoor-svh.html#uvm_reg_backdoor" id=link2215 onMouseOver="ShowTip(event, 'tt2210', 'link2215')" onMouseOut="HideTip('tt2210')" class=ISymbol>uvm_reg_backdoor</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_bd_cb" id=link2216 onMouseOver="ShowTip(event, 'tt2211', 'link2216')" onMouseOut="HideTip('tt2211')" class=ISymbol>uvm_reg_bd_cb</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_bd_cb_iter" id=link2217 onMouseOver="ShowTip(event, 'tt2212', 'link2217')" onMouseOut="HideTip('tt2212')" class=ISymbol>uvm_reg_bd_cb_iter</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_bit_bash_seq-svh.html#uvm_reg_bit_bash_seq" id=link2218 onMouseOver="ShowTip(event, 'tt2213', 'link2218')" onMouseOut="HideTip('tt2213')" class=ISymbol>uvm_reg_bit_bash_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block" id=link2219 onMouseOver="ShowTip(event, 'tt2214', 'link2219')" onMouseOut="HideTip('tt2214')" class=ISymbol>uvm_reg_block</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_bus_op" id=link2220 onMouseOver="ShowTip(event, 'tt2215', 'link2220')" onMouseOut="HideTip('tt2215')" class=ISymbol>uvm_reg_bus_op</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_reg_byte_en_t" id=link2221 onMouseOver="ShowTip(event, 'tt2216', 'link2221')" onMouseOut="HideTip('tt2216')" class=ISymbol>uvm_reg_byte_en_t</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_cb" id=link2222 onMouseOver="ShowTip(event, 'tt2217', 'link2222')" onMouseOut="HideTip('tt2217')" class=ISymbol>uvm_reg_cb</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_cb_iter" id=link2223 onMouseOver="ShowTip(event, 'tt2218', 'link2223')" onMouseOut="HideTip('tt2218')" class=ISymbol>uvm_reg_cb_iter</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_cbs" id=link2224 onMouseOver="ShowTip(event, 'tt2219', 'link2224')" onMouseOut="HideTip('tt2219')" class=ISymbol>uvm_reg_cbs</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_reg_cvr_t" id=link2225 onMouseOver="ShowTip(event, 'tt2220', 'link2225')" onMouseOut="HideTip('tt2220')" class=ISymbol>uvm_reg_cvr_t</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_reg_data_logic_t" id=link2226 onMouseOver="ShowTip(event, 'tt2221', 'link2226')" onMouseOut="HideTip('tt2221')" class=ISymbol>uvm_reg_data_logic_t</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_reg_data_t" id=link2227 onMouseOver="ShowTip(event, 'tt2222', 'link2227')" onMouseOut="HideTip('tt2222')" class=ISymbol>uvm_reg_data_t</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field" id=link2228 onMouseOver="ShowTip(event, 'tt2223', 'link2228')" onMouseOut="HideTip('tt2223')" class=ISymbol>uvm_reg_field</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_field_cb" id=link2229 onMouseOver="ShowTip(event, 'tt2224', 'link2229')" onMouseOut="HideTip('tt2224')" class=ISymbol>uvm_reg_field_cb</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_field_cb_iter" id=link2230 onMouseOver="ShowTip(event, 'tt2225', 'link2230')" onMouseOut="HideTip('tt2225')" class=ISymbol>uvm_reg_field_cb_iter</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo" id=link2231 onMouseOver="ShowTip(event, 'tt2226', 'link2231')" onMouseOut="HideTip('tt2226')" class=ISymbol>uvm_reg_fifo</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_file-svh.html#uvm_reg_file" id=link2232 onMouseOver="ShowTip(event, 'tt2227', 'link2232')" onMouseOut="HideTip('tt2227')" class=ISymbol>uvm_reg_file</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_frontdoor" id=link2233 onMouseOver="ShowTip(event, 'tt2228', 'link2233')" onMouseOut="HideTip('tt2228')" class=ISymbol>uvm_reg_frontdoor</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_hw_reset_seq-svh.html#uvm_reg_hw_reset_seq" id=link2234 onMouseOver="ShowTip(event, 'tt2229', 'link2234')" onMouseOut="HideTip('tt2229')" class=ISymbol>uvm_reg_hw_reset_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_indirect-svh.html#uvm_reg_indirect_data" id=link2235 onMouseOver="ShowTip(event, 'tt2230', 'link2235')" onMouseOut="HideTip('tt2230')" class=ISymbol>uvm_reg_indirect_data</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item" id=link2236 onMouseOver="ShowTip(event, 'tt2231', 'link2236')" onMouseOut="HideTip('tt2231')" class=ISymbol>uvm_reg_item</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_map" id=link2237 onMouseOver="ShowTip(event, 'tt2232', 'link2237')" onMouseOut="HideTip('tt2232')" class=ISymbol>uvm_reg_map</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#uvm_reg_mem_access_seq" id=link2238 onMouseOver="ShowTip(event, 'tt2233', 'link2238')" onMouseOut="HideTip('tt2233')" class=ISymbol>uvm_reg_mem_access_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_built_in_seq-svh.html#uvm_reg_mem_built_in_seq" id=link2239 onMouseOver="ShowTip(event, 'tt2234', 'link2239')" onMouseOut="HideTip('tt2234')" class=ISymbol>uvm_reg_mem_built_in_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_hdl_paths_seq-svh.html#uvm_reg_mem_hdl_paths_seq" id=link2240 onMouseOver="ShowTip(event, 'tt2235', 'link2240')" onMouseOut="HideTip('tt2235')" class=ISymbol>uvm_reg_mem_hdl_paths_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_reg_mem_shared_access_seq" id=link2241 onMouseOver="ShowTip(event, 'tt2236', 'link2241')" onMouseOut="HideTip('tt2236')" class=ISymbol>uvm_reg_mem_shared_access_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_reg_mem_tests_e" id=link2242 onMouseOver="ShowTip(event, 'tt2237', 'link2242')" onMouseOut="HideTip('tt2237')" class=ISymbol>uvm_reg_mem_tests_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_predictor-svh.html#uvm_reg_predictor" id=link2243 onMouseOver="ShowTip(event, 'tt2238', 'link2243')" onMouseOut="HideTip('tt2238')" class=ISymbol>uvm_reg_predictor</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_read_only_cbs" id=link2244 onMouseOver="ShowTip(event, 'tt2239', 'link2244')" onMouseOut="HideTip('tt2239')" class=ISymbol>uvm_reg_read_only_cbs</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence" id=link2245 onMouseOver="ShowTip(event, 'tt2240', 'link2245')" onMouseOut="HideTip('tt2240')" class=ISymbol>uvm_reg_sequence</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_reg_shared_access_seq" id=link2246 onMouseOver="ShowTip(event, 'tt2241', 'link2246')" onMouseOut="HideTip('tt2241')" class=ISymbol>uvm_reg_shared_access_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#uvm_reg_single_access_seq" id=link2247 onMouseOver="ShowTip(event, 'tt2242', 'link2247')" onMouseOut="HideTip('tt2242')" class=ISymbol>uvm_reg_single_access_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_bit_bash_seq-svh.html#uvm_reg_single_bit_bash_seq" id=link2248 onMouseOver="ShowTip(event, 'tt2243', 'link2248')" onMouseOut="HideTip('tt2243')" class=ISymbol>uvm_reg_single_bit_bash_seq</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_adapter-svh.html#uvm_reg_tlm_adapter" id=link2249 onMouseOver="ShowTip(event, 'tt2244', 'link2249')" onMouseOut="HideTip('tt2244')" class=ISymbol>uvm_reg_tlm_adapter</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_transaction_order_policy" id=link2250 onMouseOver="ShowTip(event, 'tt2245', 'link2250')" onMouseOut="HideTip('tt2245')" class=ISymbol>uvm_reg_transaction_order_policy</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_write_only_cbs" id=link2251 onMouseOver="ShowTip(event, 'tt2246', 'link2251')" onMouseOut="HideTip('tt2246')" class=ISymbol>uvm_reg_write_only_cbs</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_links-svh.html#uvm_related_link" id=link2252 onMouseOver="ShowTip(event, 'tt2247', 'link2252')" onMouseOut="HideTip('tt2247')" class=ISymbol>uvm_related_link</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_report</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#uvm_report" id=link2253 onMouseOver="ShowTip(event, 'tt2248', 'link2253')" onMouseOut="HideTip('tt2248')" class=IParent>Global</a><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.uvm_report" id=link2254 onMouseOver="ShowTip(event, 'tt2249', 'link2254')" onMouseOut="HideTip('tt2249')" class=IParent>uvm_report_catcher</a><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.uvm_report" id=link2255 onMouseOver="ShowTip(event, 'tt2250', 'link2255')" onMouseOut="HideTip('tt2250')" class=IParent>uvm_report_object</a><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.uvm_report" id=link2256 onMouseOver="ShowTip(event, 'tt2251', 'link2256')" onMouseOut="HideTip('tt2251')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher" id=link2257 onMouseOver="ShowTip(event, 'tt2252', 'link2257')" onMouseOut="HideTip('tt2252')" class=ISymbol>uvm_report_catcher</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_report_enabled</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#uvm_report_enabled" id=link2258 onMouseOver="ShowTip(event, 'tt2253', 'link2258')" onMouseOut="HideTip('tt2253')" class=IParent>Global</a><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.uvm_report_enabled" id=link2259 onMouseOver="ShowTip(event, 'tt2254', 'link2259')" onMouseOut="HideTip('tt2254')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_report_error</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#uvm_report_error" id=link2260 onMouseOver="ShowTip(event, 'tt2255', 'link2260')" onMouseOut="HideTip('tt2255')" class=IParent>Global</a><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.uvm_report_error" id=link2261 onMouseOver="ShowTip(event, 'tt2256', 'link2261')" onMouseOut="HideTip('tt2256')" class=IParent>uvm_report_catcher</a><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.uvm_report_error" id=link2262 onMouseOver="ShowTip(event, 'tt2257', 'link2262')" onMouseOut="HideTip('tt2257')" class=IParent>uvm_report_object</a><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.uvm_report_error" id=link2263 onMouseOver="ShowTip(event, 'tt2258', 'link2263')" onMouseOut="HideTip('tt2258')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_report_fatal</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#uvm_report_fatal" id=link2264 onMouseOver="ShowTip(event, 'tt2259', 'link2264')" onMouseOut="HideTip('tt2259')" class=IParent>Global</a><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.uvm_report_fatal" id=link2265 onMouseOver="ShowTip(event, 'tt2260', 'link2265')" onMouseOut="HideTip('tt2260')" class=IParent>uvm_report_catcher</a><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.uvm_report_fatal" id=link2266 onMouseOver="ShowTip(event, 'tt2261', 'link2266')" onMouseOut="HideTip('tt2261')" class=IParent>uvm_report_object</a><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.uvm_report_fatal" id=link2267 onMouseOver="ShowTip(event, 'tt2262', 'link2267')" onMouseOut="HideTip('tt2262')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_handler-svh.html#uvm_report_handler" id=link2268 onMouseOver="ShowTip(event, 'tt2263', 'link2268')" onMouseOut="HideTip('tt2263')" class=ISymbol>uvm_report_handler</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_report_info</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#uvm_report_info" id=link2269 onMouseOver="ShowTip(event, 'tt2264', 'link2269')" onMouseOut="HideTip('tt2264')" class=IParent>Global</a><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.uvm_report_info" id=link2270 onMouseOver="ShowTip(event, 'tt2265', 'link2270')" onMouseOut="HideTip('tt2265')" class=IParent>uvm_report_catcher</a><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.uvm_report_info" id=link2271 onMouseOver="ShowTip(event, 'tt2266', 'link2271')" onMouseOut="HideTip('tt2266')" class=IParent>uvm_report_object</a><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.uvm_report_info" id=link2272 onMouseOver="ShowTip(event, 'tt2267', 'link2272')" onMouseOut="HideTip('tt2267')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message" id=link2273 onMouseOver="ShowTip(event, 'tt2268', 'link2273')" onMouseOut="HideTip('tt2268')" class=ISymbol>uvm_report_message</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_element_base" id=link2274 onMouseOver="ShowTip(event, 'tt2269', 'link2274')" onMouseOut="HideTip('tt2269')" class=ISymbol>uvm_report_message_element_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_element_container" id=link2275 onMouseOver="ShowTip(event, 'tt2270', 'link2275')" onMouseOut="HideTip('tt2270')" class=ISymbol>uvm_report_message_element_container</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_int_element" id=link2276 onMouseOver="ShowTip(event, 'tt2271', 'link2276')" onMouseOut="HideTip('tt2271')" class=ISymbol>uvm_report_message_int_element</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_object_element" id=link2277 onMouseOver="ShowTip(event, 'tt2272', 'link2277')" onMouseOut="HideTip('tt2272')" class=ISymbol>uvm_report_message_object_element</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_string_element" id=link2278 onMouseOver="ShowTip(event, 'tt2273', 'link2278')" onMouseOut="HideTip('tt2273')" class=ISymbol>uvm_report_message_string_element</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_object-svh.html#uvm_report_object" id=link2279 onMouseOver="ShowTip(event, 'tt2274', 'link2279')" onMouseOut="HideTip('tt2274')" class=ISymbol>uvm_report_object</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_report_phase" id=link2280 onMouseOver="ShowTip(event, 'tt2275', 'link2280')" onMouseOut="HideTip('tt2275')" class=ISymbol>uvm_report_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_report_server-svh.html#uvm_report_server" id=link2281 onMouseOver="ShowTip(event, 'tt2276', 'link2281')" onMouseOut="HideTip('tt2276')" class=ISymbol>uvm_report_server</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_report_warning</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#uvm_report_warning" id=link2282 onMouseOver="ShowTip(event, 'tt2277', 'link2282')" onMouseOut="HideTip('tt2277')" class=IParent>Global</a><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.uvm_report_warning" id=link2283 onMouseOver="ShowTip(event, 'tt2278', 'link2283')" onMouseOut="HideTip('tt2278')" class=IParent>uvm_report_catcher</a><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.uvm_report_warning" id=link2284 onMouseOver="ShowTip(event, 'tt2279', 'link2284')" onMouseOut="HideTip('tt2279')" class=IParent>uvm_report_object</a><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.uvm_report_warning" id=link2285 onMouseOver="ShowTip(event, 'tt2280', 'link2285')" onMouseOut="HideTip('tt2280')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_reset_phase" id=link2286 onMouseOver="ShowTip(event, 'tt2281', 'link2286')" onMouseOut="HideTip('tt2281')" class=ISymbol>uvm_reset_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_resource-svh.html#uvm_resource#(T)" id=link2287 onMouseOver="ShowTip(event, 'tt2282', 'link2287')" onMouseOut="HideTip('tt2282')" class=ISymbol>uvm_resource#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_resource-svh.html#uvm_resource_base" id=link2288 onMouseOver="ShowTip(event, 'tt2283', 'link2288')" onMouseOut="HideTip('tt2283')" class=ISymbol>uvm_resource_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db" id=link2289 onMouseOver="ShowTip(event, 'tt2284', 'link2289')" onMouseOut="HideTip('tt2284')" class=ISymbol>uvm_resource_db</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db_options" id=link2290 onMouseOver="ShowTip(event, 'tt2285', 'link2290')" onMouseOut="HideTip('tt2285')" class=ISymbol>uvm_resource_db_options</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_resource-svh.html#uvm_resource_options" id=link2291 onMouseOver="ShowTip(event, 'tt2286', 'link2291')" onMouseOut="HideTip('tt2286')" class=ISymbol>uvm_resource_options</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool" id=link2292 onMouseOver="ShowTip(event, 'tt2287', 'link2292')" onMouseOut="HideTip('tt2287')" class=ISymbol>uvm_resource_pool</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_resource-svh.html#uvm_resource_types" id=link2293 onMouseOver="ShowTip(event, 'tt2288', 'link2293')" onMouseOut="HideTip('tt2288')" class=ISymbol>uvm_resource_types</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_RM_RECORD" id=link2294 onMouseOver="ShowTip(event, 'tt2289', 'link2294')" onMouseOut="HideTip('tt2289')" class=ISymbol>UVM_RM_RECORD</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_root-svh.html#uvm_root" id=link2295 onMouseOver="ShowTip(event, 'tt2290', 'link2295')" onMouseOut="HideTip('tt2290')" class=ISymbol>uvm_root</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_run_phase" id=link2296 onMouseOver="ShowTip(event, 'tt2291', 'link2296')" onMouseOut="HideTip('tt2291')" class=ISymbol>uvm_run_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_scoreboard-svh.html#uvm_scoreboard" id=link2297 onMouseOver="ShowTip(event, 'tt2292', 'link2297')" onMouseOut="HideTip('tt2292')" class=ISymbol>uvm_scoreboard</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_SEQ_ARB_FIFO" id=link2298 onMouseOver="ShowTip(event, 'tt2293', 'link2298')" onMouseOut="HideTip('tt2293')" class=ISymbol>UVM_SEQ_ARB_FIFO</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_SEQ_ARB_RANDOM" id=link2299 onMouseOver="ShowTip(event, 'tt2294', 'link2299')" onMouseOut="HideTip('tt2294')" class=ISymbol>UVM_SEQ_ARB_RANDOM</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_SEQ_ARB_STRICT_FIFO" id=link2300 onMouseOver="ShowTip(event, 'tt2295', 'link2300')" onMouseOut="HideTip('tt2295')" class=ISymbol>UVM_SEQ_ARB_STRICT_FIFO</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_SEQ_ARB_STRICT_RANDOM" id=link2301 onMouseOver="ShowTip(event, 'tt2296', 'link2301')" onMouseOut="HideTip('tt2296')" class=ISymbol>UVM_SEQ_ARB_STRICT_RANDOM</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_SEQ_ARB_USER" id=link2302 onMouseOver="ShowTip(event, 'tt2297', 'link2302')" onMouseOut="HideTip('tt2297')" class=ISymbol>UVM_SEQ_ARB_USER</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_SEQ_ARB_WEIGHTED" id=link2303 onMouseOver="ShowTip(event, 'tt2298', 'link2303')" onMouseOut="HideTip('tt2298')" class=ISymbol>UVM_SEQ_ARB_WEIGHTED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_sqr_connections-svh.html#uvm_seq_item_pull_export#(REQ,RSP)" id=link2304 onMouseOver="ShowTip(event, 'tt2299', 'link2304')" onMouseOut="HideTip('tt2299')" class=ISymbol>uvm_seq_item_pull_export#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_sqr_connections-svh.html#uvm_seq_item_pull_imp#(REQ,RSP,IMP)" id=link2305 onMouseOver="ShowTip(event, 'tt2300', 'link2305')" onMouseOut="HideTip('tt2300')" class=ISymbol>uvm_seq_item_pull_imp#(REQ,RSP,IMP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_sqr_connections-svh.html#uvm_seq_item_pull_port#(REQ,RSP)" id=link2306 onMouseOver="ShowTip(event, 'tt2301', 'link2306')" onMouseOut="HideTip('tt2301')" class=ISymbol>uvm_seq_item_pull_port#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_SEQ_LIB_ITEM" id=link2307 onMouseOver="ShowTip(event, 'tt2302', 'link2307')" onMouseOut="HideTip('tt2302')" class=ISymbol>UVM_SEQ_LIB_ITEM</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_SEQ_LIB_RAND" id=link2308 onMouseOver="ShowTip(event, 'tt2303', 'link2308')" onMouseOut="HideTip('tt2303')" class=ISymbol>UVM_SEQ_LIB_RAND</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_SEQ_LIB_RANDC" id=link2309 onMouseOver="ShowTip(event, 'tt2304', 'link2309')" onMouseOut="HideTip('tt2304')" class=ISymbol>UVM_SEQ_LIB_RANDC</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_SEQ_LIB_USER" id=link2310 onMouseOver="ShowTip(event, 'tt2305', 'link2310')" onMouseOut="HideTip('tt2305')" class=ISymbol>UVM_SEQ_LIB_USER</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/seq/uvm_sequence-svh.html#uvm_sequence#(REQ,RSP)" id=link2311 onMouseOver="ShowTip(event, 'tt2306', 'link2311')" onMouseOut="HideTip('tt2306')" class=ISymbol>uvm_sequence#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base" id=link2312 onMouseOver="ShowTip(event, 'tt2307', 'link2312')" onMouseOut="HideTip('tt2307')" class=ISymbol>uvm_sequence_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item" id=link2313 onMouseOver="ShowTip(event, 'tt2308', 'link2313')" onMouseOut="HideTip('tt2308')" class=ISymbol>uvm_sequence_item</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_sequence_lib_mode" id=link2314 onMouseOver="ShowTip(event, 'tt2309', 'link2314')" onMouseOut="HideTip('tt2309')" class=ISymbol>uvm_sequence_lib_mode</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/seq/uvm_sequence_library-svh.html#uvm_sequence_library" id=link2315 onMouseOver="ShowTip(event, 'tt2310', 'link2315')" onMouseOut="HideTip('tt2310')" class=ISymbol>uvm_sequence_library</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/seq/uvm_sequence_library-svh.html#uvm_sequence_library_cfg" id=link2316 onMouseOver="ShowTip(event, 'tt2311', 'link2316')" onMouseOut="HideTip('tt2311')" class=ISymbol>uvm_sequence_library_cfg</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_sequence_library_utils</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_library-svh.html#uvm_sequence_library.uvm_sequence_library_utils" id=link2317 onMouseOver="ShowTip(event, 'tt2312', 'link2317')" onMouseOut="HideTip('tt2312')" class=IParent>uvm_sequence_library</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_sequence_state_enum" id=link2318 onMouseOver="ShowTip(event, 'tt2313', 'link2318')" onMouseOut="HideTip('tt2313')" class=ISymbol>uvm_sequence_state_enum</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/seq/uvm_sequencer-svh.html#uvm_sequencer#(REQ,RSP)" id=link2319 onMouseOver="ShowTip(event, 'tt2314', 'link2319')" onMouseOut="HideTip('tt2314')" class=ISymbol>uvm_sequencer#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_sequencer_arb_mode" id=link2320 onMouseOver="ShowTip(event, 'tt2315', 'link2320')" onMouseOut="HideTip('tt2315')" class=ISymbol>uvm_sequencer_arb_mode</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base" id=link2321 onMouseOver="ShowTip(event, 'tt2316', 'link2321')" onMouseOut="HideTip('tt2316')" class=ISymbol>uvm_sequencer_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP)" id=link2322 onMouseOver="ShowTip(event, 'tt2317', 'link2322')" onMouseOut="HideTip('tt2317')" class=ISymbol>uvm_sequencer_param_base#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dap/uvm_set_before_get_dap-svh.html#uvm_set_before_get_dap" id=link2323 onMouseOver="ShowTip(event, 'tt2318', 'link2323')" onMouseOut="HideTip('tt2318')" class=ISymbol>uvm_set_before_get_dap</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dap/uvm_set_get_dap_base-svh.html#uvm_set_get_dap_base" id=link2324 onMouseOver="ShowTip(event, 'tt2319', 'link2324')" onMouseOut="HideTip('tt2319')" class=ISymbol>uvm_set_get_dap_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_severity" id=link2325 onMouseOver="ShowTip(event, 'tt2320', 'link2325')" onMouseOut="HideTip('tt2320')" class=ISymbol>uvm_severity</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_SHALLOW" id=link2326 onMouseOver="ShowTip(event, 'tt2321', 'link2326')" onMouseOut="HideTip('tt2321')" class=ISymbol>UVM_SHALLOW</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_runtime_phases-svh.html#uvm_shutdown_phase" id=link2327 onMouseOver="ShowTip(event, 'tt2322', 'link2327')" onMouseOut="HideTip('tt2322')" class=ISymbol>uvm_shutdown_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/dap/uvm_simple_lock_dap-svh.html#uvm_simple_lock_dap" id=link2328 onMouseOver="ShowTip(event, 'tt2323', 'link2328')" onMouseOut="HideTip('tt2323')" class=ISymbol>uvm_simple_lock_dap</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_globals-svh.html#uvm_split_string" id=link2329 onMouseOver="ShowTip(event, 'tt2324', 'link2329')" onMouseOut="HideTip('tt2324')" class=ISymbol>uvm_split_string</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_sqr_ifs-svh.html#uvm_sqr_if_base#(REQ,RSP)" id=link2330 onMouseOver="ShowTip(event, 'tt2325', 'link2330')" onMouseOut="HideTip('tt2325')" class=ISymbol>uvm_sqr_if_base#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_common_phases-svh.html#uvm_start_of_simulation_phase" id=link2331 onMouseOver="ShowTip(event, 'tt2326', 'link2331')" onMouseOut="HideTip('tt2326')" class=ISymbol>uvm_start_of_simulation_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#uvm_status_e" id=link2332 onMouseOver="ShowTip(event, 'tt2327', 'link2332')" onMouseOut="HideTip('tt2327')" class=ISymbol>uvm_status_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_STOP" id=link2333 onMouseOver="ShowTip(event, 'tt2328', 'link2333')" onMouseOut="HideTip('tt2328')" class=ISymbol>UVM_STOP</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_STOPPED" id=link2334 onMouseOver="ShowTip(event, 'tt2329', 'link2334')" onMouseOut="HideTip('tt2329')" class=ISymbol>UVM_STOPPED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_STRING" id=link2335 onMouseOver="ShowTip(event, 'tt2330', 'link2335')" onMouseOut="HideTip('tt2330')" class=ISymbol>UVM_STRING</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_globals-svh.html#uvm_string_to_bits" id=link2336 onMouseOver="ShowTip(event, 'tt2331', 'link2336')" onMouseOut="HideTip('tt2331')" class=ISymbol>uvm_string_to_bits</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_structure_proxy#(STRUCTURE)" id=link2337 onMouseOver="ShowTip(event, 'tt2332', 'link2337')" onMouseOut="HideTip('tt2332')" class=ISymbol>uvm_structure_proxy#(STRUCTURE)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_subscriber-svh.html#uvm_subscriber" id=link2338 onMouseOver="ShowTip(event, 'tt2333', 'link2338')" onMouseOut="HideTip('tt2333')" class=ISymbol>uvm_subscriber</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_printer-svh.html#uvm_table_printer" id=link2339 onMouseOver="ShowTip(event, 'tt2334', 'link2339')" onMouseOut="HideTip('tt2334')" class=ISymbol>uvm_table_printer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_task_phase-svh.html#uvm_task_phase" id=link2340 onMouseOver="ShowTip(event, 'tt2335', 'link2340')" onMouseOut="HideTip('tt2335')" class=ISymbol>uvm_task_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/comps/uvm_test-svh.html#uvm_test" id=link2341 onMouseOver="ShowTip(event, 'tt2336', 'link2341')" onMouseOut="HideTip('tt2336')" class=ISymbol>uvm_test</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_recorder-svh.html#uvm_text_recorder" id=link2342 onMouseOver="ShowTip(event, 'tt2337', 'link2342')" onMouseOut="HideTip('tt2337')" class=ISymbol>uvm_text_recorder</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_tr_database-svh.html#uvm_text_tr_database" id=link2343 onMouseOver="ShowTip(event, 'tt2338', 'link2343')" onMouseOut="HideTip('tt2338')" class=ISymbol>uvm_text_tr_database</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_tr_stream-svh.html#uvm_text_tr_stream" id=link2344 onMouseOver="ShowTip(event, 'tt2339', 'link2344')" onMouseOut="HideTip('tt2339')" class=ISymbol>uvm_text_tr_stream</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_TIME" id=link2345 onMouseOver="ShowTip(event, 'tt2340', 'link2345')" onMouseOut="HideTip('tt2340')" class=ISymbol>UVM_TIME</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#UVM_TLM_ACCEPTED" id=link2346 onMouseOver="ShowTip(event, 'tt2341', 'link2346')" onMouseOut="HideTip('tt2341')" class=ISymbol>UVM_TLM_ACCEPTED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#UVM_TLM_ADDRESS_ERROR_RESPONSE" id=link2347 onMouseOver="ShowTip(event, 'tt2342', 'link2347')" onMouseOut="HideTip('tt2342')" class=ISymbol>UVM_TLM_ADDRESS_ERROR_RESPONSE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_analysis_fifo#(T)" id=link2348 onMouseOver="ShowTip(event, 'tt2343', 'link2348')" onMouseOut="HideTip('tt2343')" class=ISymbol>uvm_tlm_analysis_fifo#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_initiator_socket" id=link2349 onMouseOver="ShowTip(event, 'tt2344', 'link2349')" onMouseOut="HideTip('tt2344')" class=ISymbol>uvm_tlm_b_initiator_socket</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_b_initiator_socket_base" id=link2350 onMouseOver="ShowTip(event, 'tt2345', 'link2350')" onMouseOut="HideTip('tt2345')" class=ISymbol>uvm_tlm_b_initiator_socket_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_passthrough_initiator_socket" id=link2351 onMouseOver="ShowTip(event, 'tt2346', 'link2351')" onMouseOut="HideTip('tt2346')" class=ISymbol>uvm_tlm_b_passthrough_initiator_socket</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_b_passthrough_initiator_socket_base" id=link2352 onMouseOver="ShowTip(event, 'tt2347', 'link2352')" onMouseOut="HideTip('tt2347')" class=ISymbol>uvm_tlm_b_passthrough_initiator_socket_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_passthrough_target_socket" id=link2353 onMouseOver="ShowTip(event, 'tt2348', 'link2353')" onMouseOut="HideTip('tt2348')" class=ISymbol>uvm_tlm_b_passthrough_target_socket</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_b_passthrough_target_socket_base" id=link2354 onMouseOver="ShowTip(event, 'tt2349', 'link2354')" onMouseOut="HideTip('tt2349')" class=ISymbol>uvm_tlm_b_passthrough_target_socket_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_target_socket" id=link2355 onMouseOver="ShowTip(event, 'tt2350', 'link2355')" onMouseOut="HideTip('tt2350')" class=ISymbol>uvm_tlm_b_target_socket</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_b_target_socket_base" id=link2356 onMouseOver="ShowTip(event, 'tt2351', 'link2356')" onMouseOut="HideTip('tt2351')" class=ISymbol>uvm_tlm_b_target_socket_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_exports-svh.html#uvm_tlm_b_transport_export" id=link2357 onMouseOver="ShowTip(event, 'tt2352', 'link2357')" onMouseOut="HideTip('tt2352')" class=ISymbol>uvm_tlm_b_transport_export</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_imps-svh.html#uvm_tlm_b_transport_imp" id=link2358 onMouseOver="ShowTip(event, 'tt2353', 'link2358')" onMouseOut="HideTip('tt2353')" class=ISymbol>uvm_tlm_b_transport_imp</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ports-svh.html#uvm_tlm_b_transport_port" id=link2359 onMouseOver="ShowTip(event, 'tt2354', 'link2359')" onMouseOut="HideTip('tt2354')" class=ISymbol>uvm_tlm_b_transport_port</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#UVM_TLM_BURST_ERROR_RESPONSE" id=link2360 onMouseOver="ShowTip(event, 'tt2355', 'link2360')" onMouseOut="HideTip('tt2355')" class=ISymbol>UVM_TLM_BURST_ERROR_RESPONSE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#UVM_TLM_BYTE_ENABLE_ERROR_RESPONSE" id=link2361 onMouseOver="ShowTip(event, 'tt2356', 'link2361')" onMouseOut="HideTip('tt2356')" class=ISymbol>UVM_TLM_BYTE_ENABLE_ERROR_RESPONSE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_command_e" id=link2362 onMouseOver="ShowTip(event, 'tt2357', 'link2362')" onMouseOut="HideTip('tt2357')" class=ISymbol>uvm_tlm_command_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#UVM_TLM_COMMAND_ERROR_RESPONSE" id=link2363 onMouseOver="ShowTip(event, 'tt2358', 'link2363')" onMouseOut="HideTip('tt2358')" class=ISymbol>UVM_TLM_COMMAND_ERROR_RESPONSE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#UVM_TLM_COMPLETED" id=link2364 onMouseOver="ShowTip(event, 'tt2359', 'link2364')" onMouseOut="HideTip('tt2359')" class=ISymbol>UVM_TLM_COMPLETED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_extension" id=link2365 onMouseOver="ShowTip(event, 'tt2360', 'link2365')" onMouseOut="HideTip('tt2360')" class=ISymbol>uvm_tlm_extension</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_extension_base" id=link2366 onMouseOver="ShowTip(event, 'tt2361', 'link2366')" onMouseOut="HideTip('tt2361')" class=ISymbol>uvm_tlm_extension_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_fifo#(T)" id=link2367 onMouseOver="ShowTip(event, 'tt2362', 'link2367')" onMouseOut="HideTip('tt2362')" class=ISymbol>uvm_tlm_fifo#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_tlm_fifo_base-svh.html#uvm_tlm_fifo_base#(T)" id=link2368 onMouseOver="ShowTip(event, 'tt2363', 'link2368')" onMouseOut="HideTip('tt2363')" class=ISymbol>uvm_tlm_fifo_base#(T)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#UVM_TLM_GENERIC_ERROR_RESPONSE" id=link2369 onMouseOver="ShowTip(event, 'tt2364', 'link2369')" onMouseOut="HideTip('tt2364')" class=ISymbol>UVM_TLM_GENERIC_ERROR_RESPONSE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload" id=link2370 onMouseOver="ShowTip(event, 'tt2365', 'link2370')" onMouseOut="HideTip('tt2365')" class=ISymbol>uvm_tlm_generic_payload</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_gp" id=link2371 onMouseOver="ShowTip(event, 'tt2366', 'link2371')" onMouseOut="HideTip('tt2366')" class=ISymbol>uvm_tlm_gp</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#uvm_tlm_if" id=link2372 onMouseOver="ShowTip(event, 'tt2367', 'link2372')" onMouseOut="HideTip('tt2367')" class=ISymbol>uvm_tlm_if</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2)" id=link2373 onMouseOver="ShowTip(event, 'tt2368', 'link2373')" onMouseOut="HideTip('tt2368')" class=ISymbol>uvm_tlm_if_base#(T1,T2)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#UVM_TLM_IGNORE_COMMAND" id=link2374 onMouseOver="ShowTip(event, 'tt2369', 'link2374')" onMouseOut="HideTip('tt2369')" class=ISymbol>UVM_TLM_IGNORE_COMMAND</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#UVM_TLM_INCOMPLETE_RESPONSE" id=link2375 onMouseOver="ShowTip(event, 'tt2370', 'link2375')" onMouseOut="HideTip('tt2370')" class=ISymbol>UVM_TLM_INCOMPLETE_RESPONSE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_initiator_socket" id=link2376 onMouseOver="ShowTip(event, 'tt2371', 'link2376')" onMouseOut="HideTip('tt2371')" class=ISymbol>uvm_tlm_nb_initiator_socket</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_nb_initiator_socket_base" id=link2377 onMouseOver="ShowTip(event, 'tt2372', 'link2377')" onMouseOut="HideTip('tt2372')" class=ISymbol>uvm_tlm_nb_initiator_socket_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_passthrough_initiator_socket" id=link2378 onMouseOver="ShowTip(event, 'tt2373', 'link2378')" onMouseOut="HideTip('tt2373')" class=ISymbol>uvm_tlm_nb_passthrough_initiator_socket</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_nb_passthrough_initiator_socket_base" id=link2379 onMouseOver="ShowTip(event, 'tt2374', 'link2379')" onMouseOut="HideTip('tt2374')" class=ISymbol>uvm_tlm_nb_passthrough_initiator_socket_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_passthrough_target_socket" id=link2380 onMouseOver="ShowTip(event, 'tt2375', 'link2380')" onMouseOut="HideTip('tt2375')" class=ISymbol>uvm_tlm_nb_passthrough_target_socket</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_nb_passthrough_target_socket_base" id=link2381 onMouseOver="ShowTip(event, 'tt2376', 'link2381')" onMouseOut="HideTip('tt2376')" class=ISymbol>uvm_tlm_nb_passthrough_target_socket_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_target_socket" id=link2382 onMouseOver="ShowTip(event, 'tt2377', 'link2382')" onMouseOut="HideTip('tt2377')" class=ISymbol>uvm_tlm_nb_target_socket</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_sockets_base-svh.html#uvm_tlm_nb_target_socket_base" id=link2383 onMouseOver="ShowTip(event, 'tt2378', 'link2383')" onMouseOut="HideTip('tt2378')" class=ISymbol>uvm_tlm_nb_target_socket_base</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_exports-svh.html#uvm_tlm_nb_transport_bw_export" id=link2384 onMouseOver="ShowTip(event, 'tt2379', 'link2384')" onMouseOut="HideTip('tt2379')" class=ISymbol>uvm_tlm_nb_transport_bw_export</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_imps-svh.html#uvm_tlm_nb_transport_bw_imp" id=link2385 onMouseOver="ShowTip(event, 'tt2380', 'link2385')" onMouseOut="HideTip('tt2380')" class=ISymbol>uvm_tlm_nb_transport_bw_imp</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ports-svh.html#uvm_tlm_nb_transport_bw_port" id=link2386 onMouseOver="ShowTip(event, 'tt2381', 'link2386')" onMouseOut="HideTip('tt2381')" class=ISymbol>uvm_tlm_nb_transport_bw_port</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_exports-svh.html#uvm_tlm_nb_transport_fw_export" id=link2387 onMouseOver="ShowTip(event, 'tt2382', 'link2387')" onMouseOut="HideTip('tt2382')" class=ISymbol>uvm_tlm_nb_transport_fw_export</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_imps-svh.html#uvm_tlm_nb_transport_fw_imp" id=link2388 onMouseOver="ShowTip(event, 'tt2383', 'link2388')" onMouseOut="HideTip('tt2383')" class=ISymbol>uvm_tlm_nb_transport_fw_imp</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ports-svh.html#uvm_tlm_nb_transport_fw_port" id=link2389 onMouseOver="ShowTip(event, 'tt2384', 'link2389')" onMouseOut="HideTip('tt2384')" class=ISymbol>uvm_tlm_nb_transport_fw_port</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#UVM_TLM_OK_RESPONSE" id=link2390 onMouseOver="ShowTip(event, 'tt2385', 'link2390')" onMouseOut="HideTip('tt2385')" class=ISymbol>UVM_TLM_OK_RESPONSE</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#uvm_tlm_phase_e" id=link2391 onMouseOver="ShowTip(event, 'tt2386', 'link2391')" onMouseOut="HideTip('tt2386')" class=ISymbol>uvm_tlm_phase_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#UVM_TLM_READ_COMMAND" id=link2392 onMouseOver="ShowTip(event, 'tt2387', 'link2392')" onMouseOut="HideTip('tt2387')" class=ISymbol>UVM_TLM_READ_COMMAND</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_req_rsp_channel#(REQ,RSP)" id=link2393 onMouseOver="ShowTip(event, 'tt2388', 'link2393')" onMouseOut="HideTip('tt2388')" class=ISymbol>uvm_tlm_req_rsp_channel#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_response_status_e" id=link2394 onMouseOver="ShowTip(event, 'tt2389', 'link2394')" onMouseOut="HideTip('tt2389')" class=ISymbol>uvm_tlm_response_status_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#uvm_tlm_sync_e" id=link2395 onMouseOver="ShowTip(event, 'tt2390', 'link2395')" onMouseOut="HideTip('tt2390')" class=ISymbol>uvm_tlm_sync_e</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_time-svh.html#uvm_tlm_time" id=link2396 onMouseOver="ShowTip(event, 'tt2391', 'link2396')" onMouseOut="HideTip('tt2391')" class=ISymbol>uvm_tlm_time</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_transport_channel#(REQ,RSP)" id=link2397 onMouseOver="ShowTip(event, 'tt2392', 'link2397')" onMouseOut="HideTip('tt2392')" class=ISymbol>uvm_tlm_transport_channel#(REQ,RSP)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#UVM_TLM_UPDATED" id=link2398 onMouseOver="ShowTip(event, 'tt2393', 'link2398')" onMouseOut="HideTip('tt2393')" class=ISymbol>UVM_TLM_UPDATED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#UVM_TLM_WRITE_COMMAND" id=link2399 onMouseOver="ShowTip(event, 'tt2394', 'link2399')" onMouseOut="HideTip('tt2394')" class=ISymbol>UVM_TLM_WRITE_COMMAND</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_top</span><div class=ISubIndex><a href="../files/base/uvm_root-svh.html#uvm_root.uvm_top" id=link2400 onMouseOver="ShowTip(event, 'tt2395', 'link2400')" onMouseOut="HideTip('tt2395')" class=IParent>uvm_root</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_top_down_visitor_adapter" id=link2401 onMouseOver="ShowTip(event, 'tt2396', 'link2401')" onMouseOut="HideTip('tt2396')" class=ISymbol>uvm_top_down_visitor_adapter</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_topdown_phase-svh.html#uvm_topdown_phase" id=link2402 onMouseOver="ShowTip(event, 'tt2397', 'link2402')" onMouseOut="HideTip('tt2397')" class=ISymbol>uvm_topdown_phase</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_tr_database-svh.html#uvm_tr_database" id=link2403 onMouseOver="ShowTip(event, 'tt2398', 'link2403')" onMouseOut="HideTip('tt2398')" class=ISymbol>uvm_tr_database</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_tr_stream-svh.html#uvm_tr_stream" id=link2404 onMouseOver="ShowTip(event, 'tt2399', 'link2404')" onMouseOut="HideTip('tt2399')" class=ISymbol>uvm_tr_stream</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_transaction-svh.html#uvm_transaction" id=link2405 onMouseOver="ShowTip(event, 'tt2400', 'link2405')" onMouseOut="HideTip('tt2400')" class=ISymbol>uvm_transaction</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_printer-svh.html#uvm_tree_printer" id=link2406 onMouseOver="ShowTip(event, 'tt2401', 'link2406')" onMouseOut="HideTip('tt2401')" class=ISymbol>uvm_tree_printer</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_UNFORMAT2" id=link2407 onMouseOver="ShowTip(event, 'tt2402', 'link2407')" onMouseOut="HideTip('tt2402')" class=ISymbol>UVM_UNFORMAT2</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_UNFORMAT4" id=link2408 onMouseOver="ShowTip(event, 'tt2403', 'link2408')" onMouseOut="HideTip('tt2403')" class=ISymbol>UVM_UNFORMAT4</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_UNSIGNED" id=link2409 onMouseOver="ShowTip(event, 'tt2404', 'link2409')" onMouseOut="HideTip('tt2404')" class=ISymbol>UVM_UNSIGNED</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_misc-svh.html#uvm_utils#(TYPE,FIELD)" id=link2410 onMouseOver="ShowTip(event, 'tt2405', 'link2410')" onMouseOut="HideTip('tt2405')" class=ISymbol>uvm_utils#(TYPE,FIELD)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_verbosity" id=link2411 onMouseOver="ShowTip(event, 'tt2406', 'link2411')" onMouseOut="HideTip('tt2406')" class=ISymbol>uvm_verbosity</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_VERSION_1_2" id=link2412 onMouseOver="ShowTip(event, 'tt2407', 'link2412')" onMouseOut="HideTip('tt2407')" class=ISymbol>UVM_VERSION_1_2</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_version_defines-svh.html#UVM_VERSION_STRING" id=link2413 onMouseOver="ShowTip(event, 'tt2408', 'link2413')" onMouseOut="HideTip('tt2408')" class=ISymbol>UVM_VERSION_STRING</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_visitor#(NODE)" id=link2414 onMouseOver="ShowTip(event, 'tt2409', 'link2414')" onMouseOut="HideTip('tt2409')" class=ISymbol>uvm_visitor#(NODE)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_traversal-svh.html#uvm_visitor_adapter#(STRUCTURE,uvm_visitor#(STRUCTURE))" id=link2415 onMouseOver="ShowTip(event, 'tt2410', 'link2415')" onMouseOut="HideTip('tt2410')" class=ISymbol>uvm_visitor_adapter#(STRUCTURE,uvm_visitor#(STRUCTURE))</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_misc-svh.html#uvm_void" id=link2416 onMouseOver="ShowTip(event, 'tt2411', 'link2416')" onMouseOut="HideTip('tt2411')" class=ISymbol>uvm_void</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg" id=link2417 onMouseOver="ShowTip(event, 'tt2412', 'link2417')" onMouseOut="HideTip('tt2412')" class=ISymbol>uvm_vreg</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_vreg_cb</span><div class=ISubIndex><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg_cbs.uvm_vreg_cb" id=link2418 onMouseOver="ShowTip(event, 'tt2413', 'link2418')" onMouseOut="HideTip('tt2413')" class=IParent>uvm_vreg_cbs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_vreg_cb_iter</span><div class=ISubIndex><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg_cbs.uvm_vreg_cb_iter" id=link2419 onMouseOver="ShowTip(event, 'tt2414', 'link2419')" onMouseOut="HideTip('tt2414')" class=IParent>uvm_vreg_cbs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg_cbs" id=link2420 onMouseOver="ShowTip(event, 'tt2415', 'link2420')" onMouseOut="HideTip('tt2415')" class=ISymbol>uvm_vreg_cbs</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field" id=link2421 onMouseOver="ShowTip(event, 'tt2416', 'link2421')" onMouseOut="HideTip('tt2416')" class=ISymbol>uvm_vreg_field</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_vreg_field_cb</span><div class=ISubIndex><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field_cbs.uvm_vreg_field_cb" id=link2422 onMouseOver="ShowTip(event, 'tt2417', 'link2422')" onMouseOut="HideTip('tt2417')" class=IParent>uvm_vreg_field_cbs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>uvm_vreg_field_cb_iter</span><div class=ISubIndex><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field_cbs.uvm_vreg_field_cb_iter" id=link2423 onMouseOver="ShowTip(event, 'tt2418', 'link2423')" onMouseOut="HideTip('tt2418')" class=IParent>uvm_vreg_field_cbs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field_cbs" id=link2424 onMouseOver="ShowTip(event, 'tt2419', 'link2424')" onMouseOut="HideTip('tt2419')" class=ISymbol>uvm_vreg_field_cbs</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_globals-svh.html#uvm_wait_for_nba_region" id=link2425 onMouseOver="ShowTip(event, 'tt2420', 'link2425')" onMouseOut="HideTip('tt2420')" class=ISymbol>uvm_wait_for_nba_region</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#uvm_wait_op" id=link2426 onMouseOver="ShowTip(event, 'tt2421', 'link2426')" onMouseOut="HideTip('tt2421')" class=ISymbol>uvm_wait_op</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#UVM_WARNING" id=link2427 onMouseOver="ShowTip(event, 'tt2422', 'link2427')" onMouseOut="HideTip('tt2422')" class=ISymbol>UVM_WARNING</a></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_model-svh.html#UVM_WRITE" id=link2428 onMouseOver="ShowTip(event, 'tt2423', 'link2428')" onMouseOut="HideTip('tt2423')" class=ISymbol>UVM_WRITE</a></td></tr></table>
<!--START_ND_TOOLTIPS-->
<div class=CToolTip id="tt1905"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void ungrab(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequencer_base&nbsp;</td>
<td class=PParameter nowrap>sequencer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Removes any locks or grabs obtained by this sequence on the specified sequencer. </div></div><div class=CToolTip id="tt1906"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void ungrab(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap width=100%>sequence_ptr</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Removes any locks and grabs obtained by the specified sequence_ptr.</div></div><div class=CToolTip id="tt1907"><div class=CGroup>The unidirectional TLM interfaces consist of blocking, non-blocking, and combined blocking and non-blocking variants of the <i>put</i>, <i>get</i> and <i>peek</i> interfaces, plus a non-blocking <i>analysis</i> interface.</div></div><div class=CToolTip id="tt1908"><div class=CConstant>Defaults for constructor</div></div><div class=CToolTip id="tt1909"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void unlock(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequencer_base&nbsp;</td>
<td class=PParameter nowrap>sequencer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Removes any locks or grabs obtained by this sequence on the specified sequencer. </div></div><div class=CToolTip id="tt1910"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void unlock(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap width=100%>sequence_ptr</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Removes any locks and grabs obtained by the specified sequence_ptr.</div></div><div class=CToolTip id="tt1911"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void unlock()
</td></tr></table></blockquote>Unlocks the data value</div></div><div class=CToolTip id="tt1912"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>function int unpack (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>bitstream[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_packer&nbsp;</td>
<td class=PParameter nowrap>packer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1913"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual function void unpack_bits(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>value[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>size</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Unpacks bits from the pack array into an unpacked array of bits.</div></div><div class=CToolTip id="tt1914"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>function int unpack_bytes (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref byte&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>bytestream[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_packer&nbsp;</td>
<td class=PParameter nowrap>packer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1915"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual function void unpack_bytes(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>byte&nbsp;</td>
<td class=PParameter nowrap>value[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>size</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Unpacks bits from the pack array into an unpacked array of bytes.</div></div><div class=CToolTip id="tt1916"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function uvm_bitstream_t unpack_field (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap width=100%>size</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Unpacks bits from the pack array and returns the bit-stream that was unpacked. </div></div><div class=CToolTip id="tt1917"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function uvm_integral_t unpack_field_int (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap width=100%>size</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Unpacks bits from the pack array and returns the bit-stream that was unpacked.</div></div><div class=CToolTip id="tt1918"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>function int unpack_ints (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref int&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>intstream[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_packer&nbsp;</td>
<td class=PParameter nowrap>packer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
The unpack methods extract property values from an array of bits, bytes, or ints. </div></div><div class=CToolTip id="tt1919"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual function void unpack_ints(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>value[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>size</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Unpacks bits from the pack array into an unpacked array of ints.</div></div><div class=CToolTip id="tt1920"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void unpack_object (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap width=100%>value</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Unpacks an object and stores the result into <i>value</i>.</div></div><div class=CToolTip id="tt1921"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function real unpack_real ()
</td></tr></table></blockquote>Unpacks the next 64 bits of the pack array and places them into a real variable.</div></div><div class=CToolTip id="tt1922"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function string unpack_string (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>num_chars</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>-1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Unpacks a string.</div></div><div class=CToolTip id="tt1923"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function time unpack_time ()
</td></tr></table></blockquote>Unpacks the next 64 bits of the pack array and places them into a time variable.</div></div><div class=CToolTip id="tt1924"><div class=CGroup>The unpacking macros assist users who implement the uvm_object::do_unpack method. </div></div><div class=CToolTip id="tt1925"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>string unsigned_radix = &quot;'d&quot;
</td></tr></table></blockquote>This is the string which should be prepended to the value of an integral type when a radix of UVM_UNSIGNED is used for the radix of the integral object.</div></div><div class=CToolTip id="tt1926"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void unsync(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_domain&nbsp;</td>
<td class=PParameter nowrap>target,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>phase</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap>with_phase</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Remove synchronization between two domains, fully or partially</div></div><div class=CToolTip id="tt1927"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task update(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap>path</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>prior</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Updates the content of the register in the design to match the desired value</div></div><div class=CToolTip id="tt1928"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task update(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap>path</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>prior</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Batch update of register.</div></div><div class=CToolTip id="tt1929"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task update(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap>path</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>prior</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Pushes (writes) all values preloaded using set() to the DUT. </div></div><div class=CToolTip id="tt1930"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task update_reg(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg&nbsp;</td>
<td class=PParameter nowrap>rg,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap>path</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>prior</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Updates the given register <i>rg</i> using uvm_reg::update, supplying &lsquo;this&rsquo; as the <i>parent</i> argument. </div></div><div class=CToolTip id="tt1931"><div class=CGroup>This example illustrates basic TLM connectivity using the blocking put interface.</div></div><div class=CToolTip id="tt1932"><div class=CGroup>Using the factory involves three basic operations</div></div><div class=CToolTip id="tt1933"><div class=CGroup>This section describes usage for the uvm_*_registry classes.</div></div><div class=CToolTip id="tt1934"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit use_metadata
</td></tr></table></blockquote>This flag indicates whether to encode metadata when packing dynamic data, or to decode metadata when unpacking. </div></div><div class=CToolTip id="tt1935"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit use_record_attribute()
</td></tr></table></blockquote>Indicates that this recorder does (or does not) support usage of the `uvm_record_attribute macro.</div></div><div class=CToolTip id="tt1936"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function void use_response_handler(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap width=100%>enable</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
When called with enable set to 1, responses will be sent to the response handler. </div></div><div class=CToolTip id="tt1937"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static bit use_uvm_seeding = 1
</td></tr></table></blockquote>This bit enables or disables the UVM seeding mechanism. </div></div><div class=CToolTip id="tt1938"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function int used()
</td></tr></table></blockquote>Returns the number of entries put into the FIFO.</div></div><div class=CToolTip id="tt1939"><div class=CSection>To define your own custom phase, use the following pattern.</div></div><div class=CToolTip id="tt1940"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function integer user_priority_arbitration(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>integer&nbsp;</td>
<td class=PParameter nowrap width=100%>avail_sequences[$]</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
When the sequencer arbitration mode is set to UVM_SEQ_ARB_USER (via the set_arbitration method), the sequencer will call this function each time that it needs to arbitrate among sequences.</div></div><div class=CToolTip id="tt1941"><div class=CGroup>The <i>utils</i> macros define the infrastructure needed to enable the object/component for correct factory operation. </div></div><div class=CToolTip id="tt1942"><div class=CSection>The common phases are the set of function and task phases that all uvm_components execute together. </div></div><div class=CToolTip id="tt1943"><div class=CSection>This page covers the classes that define the UVM factory facility.</div></div><div class=CToolTip id="tt1944"><div class=CSection>These routines provide an interface to the DPI/PLI implementation of backdoor access used by registers.</div></div><div class=CToolTip id="tt1945"><div class=CFile>The uvm_link_base class, and its extensions, are provided as a mechanism to allow for compile-time safety when trying to establish links between records within a uvm_tr_database.</div></div><div class=CToolTip id="tt1946"><div class=CSection>This page covers the classes that define the UVM report server facility.</div></div><div class=CToolTip id="tt1947"><div class=CGroup>These macros provide the current values for the <i>MAJOR</i>, <i>MINOR</i>, and optionally the <i>FIX</i> revision.</div></div><div class=CToolTip id="tt1948"><div class=CSection>The run-time schedule is the pre-defined phase schedule which runs concurrently to the uvm_run_phase global run phase. </div></div><div class=CToolTip id="tt1949"><div class=CClass>The bidirectional uvm_*_export is a port that <i>forwards</i> or <i>promotes</i> an interface implementation from a child component to its parent. </div></div><div class=CToolTip id="tt1950"><div class=CClass>The unidirectional uvm_*_export is a port that <i>forwards</i> or <i>promotes</i> an interface implementation from a child component to its parent. </div></div><div class=CToolTip id="tt1951"><div class=CSection>The following defines the TLM implementation (imp) classes.</div></div><div class=CToolTip id="tt1952"><div class=CClass>Bidirectional implementation (imp) port classes--An imp port provides access to an implementation of the associated interface to all connected <i>ports</i> and <i>exports</i>. </div></div><div class=CToolTip id="tt1953"><div class=CClass>Unidirectional implementation (imp) port classes--An imp port provides access to an implementation of the associated interface to all connected <i>ports</i> and <i>exports</i>. </div></div><div class=CToolTip id="tt1954"><div class=CClass>These bidirectional ports are instantiated by components that <i>require</i>, or <i>use</i>, the associated interface to convey transactions. </div></div><div class=CToolTip id="tt1955"><div class=CClass>These unidirectional ports are instantiated by components that <i>require</i>, or <i>use</i>, the associated interface to convey transactions. </div></div><div class=CToolTip id="tt1956"><div class=CType>Type of operation begin performed</div></div><div class=CToolTip id="tt1957"><div class=CType>Defines all possible values for report actions. </div></div><div class=CToolTip id="tt1958"><div class=CConstant>&ldquo;Active&rdquo; mode</div></div><div class=CToolTip id="tt1959"><div class=CType>Convenience value to define whether a component, usually an agent, is in &ldquo;active&rdquo; mode or &ldquo;passive&rdquo; mode.</div></div><div class=CToolTip id="tt1960"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_agent extends uvm_component
</td></tr></table></blockquote>The uvm_agent virtual class should be used as the base class for the user- defined agents. </div></div><div class=CToolTip id="tt1961"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_algorithmic_comparator #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>BEFORE</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>AFTER</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>TRANSFORMER</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_component</td></tr>
</table></td></tr>
</table></blockquote>
Compares two streams of data objects of different types, <i>BEFORE</i> and <i>AFTER</i>.</div></div><div class=CToolTip id="tt1962"><div class=CConstant>all objections have been dropped</div></div><div class=CToolTip id="tt1963"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_analysis_export #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if_base #(T,T))</td></tr>
</table></td></tr>
</table></blockquote>
Exports a lower-level uvm_analysis_imp to its parent.</div></div><div class=CToolTip id="tt1964"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_analysis_imp #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>IMP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if_base #(T,T))</td></tr>
</table></td></tr>
</table></blockquote>
Receives all transactions broadcasted by a uvm_analysis_port. </div></div><div class=CToolTip id="tt1965"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_analysis_port # (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base # (uvm_tlm_if_base #(T,T))</td></tr>
</table></td></tr>
</table></blockquote>
Broadcasts a value to all subscribers implementing a uvm_analysis_imp.</div></div><div class=CToolTip id="tt1966"><div class=CConstant>Use the back door</div></div><div class=CToolTip id="tt1967"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_barrier extends uvm_object
</td></tr></table></blockquote>The uvm_barrier class provides a multiprocess synchronization mechanism. </div></div><div class=CToolTip id="tt1968"><div class=CConstant>Most-significant bytes first in consecutive addresses</div></div><div class=CToolTip id="tt1969"><div class=CConstant>Most-significant bytes first at the same address</div></div><div class=CToolTip id="tt1970"><div class=CConstant>Selects binary (%b) format</div></div><div class=CToolTip id="tt1971"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>function string uvm_bits_to_string(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>logic&nbsp;</td>
<td class=PType nowrap>[UVM_LARGE_STRING:0]&nbsp;</td>
<td class=PParameter nowrap width=100%>str</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Converts an input bit-vector to its string equivalent. </div></div><div class=CToolTip id="tt1972"><div class=CType>The bitstream type is used as a argument type for passing integral values in such methods as uvm_object::set_int_local, uvm_config_int, uvm_printer::print_field, uvm_recorder::record_field, uvm_packer::pack_field and uvm_packer::unpack_field.</div></div><div class=CToolTip id="tt1973"><div class=CConstant>The sequence is started and the uvm_sequence_base::body() task is being executed.</div></div><div class=CToolTip id="tt1974"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_bottom_up_visitor_adapter#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>STRUCTURE</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_component,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>&nbsp;</td>
<td class=PParameter nowrap>VISITOR</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_visitor#(STRUCTURE)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_visitor_adapter#(STRUCTURE,VISITOR)</td></tr>
</table></td></tr>
</table></blockquote>
This uvm_bottom_up_visitor_adapter traverses the STRUCTURE <i>s</i> (and will invoke the visitor) in a hierarchical fashion. </div></div><div class=CToolTip id="tt1975"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_bottomup_phase extends uvm_phase
</td></tr></table></blockquote>Virtual base class for function phases that operate bottom-up. </div></div><div class=CToolTip id="tt1976"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_build_phase extends uvm_topdown_phase
</td></tr></table></blockquote>Create and configure of testbench structure</div></div><div class=CToolTip id="tt1977"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_built_in_clone #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
This policy class is used to clone built-in types via the = operator.</div></div><div class=CToolTip id="tt1978"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_built_in_comp #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
This policy class is used to compare built-in types.</div></div><div class=CToolTip id="tt1979"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_built_in_converter #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
This policy class is used to convert built-in types to strings.</div></div><div class=CToolTip id="tt1980"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_built_in_pair #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T1</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>&nbsp;</td>
<td class=PParameter nowrap>T2</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>T1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_object</td></tr>
</table></td></tr>
</table></blockquote>
Container holding two variables of built-in types (int, string, etc.)</div></div><div class=CToolTip id="tt1981"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_by_level_visitor_adapter#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>STRUCTURE</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_component,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>&nbsp;</td>
<td class=PParameter nowrap>VISITOR</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_visitor#(STRUCTURE)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_visitor_adapter#(STRUCTURE,VISITOR)</td></tr>
</table></td></tr>
</table></blockquote>
This uvm_by_level_visitor_adapter traverses the STRUCTURE <i>s</i> (and will invoke the visitor) in a hierarchical fashion. </div></div><div class=CToolTip id="tt1982"><div class=CConstant>Callback the report hook methods</div></div><div class=CToolTip id="tt1983"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_callback extends uvm_object
</td></tr></table></blockquote>The <i>uvm_callback</i> class is the base class for user-defined callback classes. </div></div><div class=CToolTip id="tt1984"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_callback_iter#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> uvm_object,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>CB</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> uvm_callback</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
The <i>uvm_callback_iter</i> class is an iterator class for iterating over callback queues of a specific callback type. </div></div><div class=CToolTip id="tt1985"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_callbacks #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_object,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>CB</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_callback</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_typed_callbacks#(T)</td></tr>
</table></td></tr>
</table></blockquote>
The <i>uvm_callbacks</i> class provides a base class for implementing callbacks, which are typically used to modify or augment component behavior without changing the component class. </div></div><div class=CToolTip id="tt1986"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_cause_effect_link extends uvm_link_base
</td></tr></table></blockquote>The <i>uvm_cause_effect_link</i> is used to represent a Cause/Effect relationship between two objects.</div></div><div class=CToolTip id="tt1987"><div class=CConstant>Read and check</div></div><div class=CToolTip id="tt1988"><div class=CType>Read-only or read-and-check</div></div><div class=CToolTip id="tt1989"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_check_phase extends uvm_bottomup_phase
</td></tr></table></blockquote>Check for any unexpected conditions in the verification environment.</div></div><div class=CToolTip id="tt1990"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_class_clone #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
This policy class is used to clone class objects.</div></div><div class=CToolTip id="tt1991"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_class_comp #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
This policy class is used to compare two objects of the same type.</div></div><div class=CToolTip id="tt1992"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_class_converter #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
This policy class is used to convert a class object to a string.</div></div><div class=CToolTip id="tt1993"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_class_pair #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T1</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>&nbsp;</td>
<td class=PParameter nowrap>T2</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>T1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_object</td></tr>
</table></td></tr>
</table></blockquote>
Container holding handles to two objects whose types are specified by the type parameters, T1 and T2.</div></div><div class=CToolTip id="tt1994"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_cmdline_processor extends uvm_report_object
</td></tr></table></blockquote>This class provides an interface to the command line arguments that were provided for the given simulation. </div></div><div class=CToolTip id="tt1995"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_comparer
</td></tr></table></blockquote>The uvm_comparer class provides a policy object for doing comparisons. </div></div><div class=CToolTip id="tt1996"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_component extends uvm_report_object
</td></tr></table></blockquote>The uvm_component class is the root base class for UVM components. </div></div><div class=CToolTip id="tt1997"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=2>class uvm_component_name_check_visitor extends uvm_visitor#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PParameter nowrap width=100%>uvm_component</td>
</tr>
<tr>
<td class=PAfterParameters colspan=2>)</td></tr>
</table></td></tr>
</table></blockquote>
This specialized visitor analyze the naming of the current component. </div></div><div class=CToolTip id="tt1998"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=2>class uvm_component_proxy extends uvm_structure_proxy#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PParameter nowrap width=100%>uvm_component</td>
</tr>
<tr>
<td class=PAfterParameters colspan=2>)</td></tr>
</table></td></tr>
</table></blockquote>
The class is providing the proxy to extract the direct subcomponents of <i>s</i></div></div><div class=CToolTip id="tt1999"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_component_registry #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_component,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>Tname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;&lt;unknown&gt;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_object_wrapper</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_component_registry serves as a lightweight proxy for a component of type <i>T</i> and type name <i>Tname</i>, a string. </div></div><div class=CToolTip id="tt2000"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_config_db#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_resource_db#(T)</td></tr>
</table></td></tr>
</table></blockquote>
All of the functions in uvm_config_db#(T) are static, so they must be called using the :: operator. </div></div><div class=CToolTip id="tt2001"><div class=CClass>Provides a namespace for managing options for the configuration DB facility. </div></div><div class=CToolTip id="tt2002"><div class=CGeneric>Convenience type for uvm_config_db#(uvm_bitstream_t)</div></div><div class=CToolTip id="tt2003"><div class=CGeneric>Convenience type for uvm_config_db#(uvm_object)</div></div><div class=CToolTip id="tt2004"><div class=CGeneric>Convenience type for uvm_config_db#(string)</div></div><div class=CToolTip id="tt2005"><div class=CGeneric>Convenience type for uvm_config_db#(uvm_object_wrapper)</div></div><div class=CToolTip id="tt2006"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_configure_phase extends uvm_task_phase
</td></tr></table></blockquote>The SW configures the DUT.</div></div><div class=CToolTip id="tt2007"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_connect_phase extends uvm_bottomup_phase
</td></tr></table></blockquote>Establish cross-component connections.</div></div><div class=CToolTip id="tt2008"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_coreservice_t
</td></tr></table></blockquote>The singleton instance of uvm_coreservice_t provides a common point for all central uvm services such as uvm_factory, uvm_report_server, ... </div></div><div class=CToolTip id="tt2009"><div class=CConstant>Counts the number of reports with the COUNT attribute. </div></div><div class=CToolTip id="tt2010"><div class=CType>Coverage models available or desired. </div></div><div class=CToolTip id="tt2011"><div class=CConstant>The sequence has been allocated.</div></div><div class=CToolTip id="tt2012"><div class=CConstant>Individual register and memory addresses</div></div><div class=CToolTip id="tt2013"><div class=CConstant>All coverage models</div></div><div class=CToolTip id="tt2014"><div class=CConstant>Field values</div></div><div class=CToolTip id="tt2015"><div class=CConstant>Individual register bits</div></div><div class=CToolTip id="tt2016"><div class=CConstant>Selects decimal (%d) format</div></div><div class=CToolTip id="tt2017"><div class=CConstant>Objects are deep copied (object must implement uvm_object::copy method)</div></div><div class=CToolTip id="tt2018"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_comparer uvm_default_comparer = new()
</td></tr></table></blockquote>The default compare policy. </div></div><div class=CToolTip id="tt2019"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_default_coreservice_t extends uvm_coreservice_t
</td></tr></table></blockquote>uvm_default_coreservice_t provides a default implementation of the uvm_coreservice_t API. </div></div><div class=CToolTip id="tt2020"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_default_factory extends uvm_factory
</td></tr></table></blockquote>Default implementation of the UVM factory.</div></div><div class=CToolTip id="tt2021"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_line_printer uvm_default_line_printer = new()
</td></tr></table></blockquote>The line printer is a global object that can be used with uvm_object::do_print to get single-line style printing.</div></div><div class=CToolTip id="tt2022"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_packer uvm_default_packer = new()
</td></tr></table></blockquote>The default packer policy. </div></div><div class=CToolTip id="tt2023"><div class=CConstant>Operation specified by the context</div></div><div class=CToolTip id="tt2024"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_printer uvm_default_printer = uvm_default_table_printer
</td></tr></table></blockquote>The default printer policy. </div></div><div class=CToolTip id="tt2025"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_default_report_server extends uvm_report_server
</td></tr></table></blockquote>Default implementation of the UVM report server.</div></div><div class=CToolTip id="tt2026"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_table_printer uvm_default_table_printer = new()
</td></tr></table></blockquote>The table printer is a global object that can be used with uvm_object::do_print to get tabular style printing.</div></div><div class=CToolTip id="tt2027"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_tree_printer uvm_default_tree_printer = new()
</td></tr></table></blockquote>The tree printer is a global object that can be used with uvm_object::do_print to get multi-line tree style printing.</div></div><div class=CToolTip id="tt2028"><div class=CConstant>Sends the report to the standard output</div></div><div class=CToolTip id="tt2029"><div class=CConstant>Run all of the above</div></div><div class=CToolTip id="tt2030"><div class=CConstant>Run uvm_mem_access_seq</div></div><div class=CToolTip id="tt2031"><div class=CConstant>Run uvm_mem_walk_seq</div></div><div class=CToolTip id="tt2032"><div class=CConstant>Run uvm_reg_access_seq</div></div><div class=CToolTip id="tt2033"><div class=CConstant>Run uvm_reg_bit_bash_seq</div></div><div class=CToolTip id="tt2034"><div class=CConstant>Run uvm_reg_hw_reset_seq</div></div><div class=CToolTip id="tt2035"><div class=CConstant>Run uvm_reg_mem_shared_access_seq</div></div><div class=CToolTip id="tt2036"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_domain extends uvm_phase
</td></tr></table></blockquote>Phasing schedule node representing an independent branch of the schedule. </div></div><div class=CToolTip id="tt2037"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_driver #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_sequence_item,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>REQ</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_component</td></tr>
</table></td></tr>
</table></blockquote>
The base class for drivers that initiate requests for new transactions via a uvm_seq_item_pull_port. </div></div><div class=CToolTip id="tt2038"><div class=CConstant>an objection was raised</div></div><div class=CToolTip id="tt2039"><div class=CType>Type of element being read or written</div></div><div class=CToolTip id="tt2040"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_end_of_elaboration_phase extends uvm_bottomup_phase
</td></tr></table></blockquote>Fine-tune the testbench.</div></div><div class=CToolTip id="tt2041"><div class=CConstant>The sequence has completed the execution of the uvm_sequence_base::body() task.</div></div><div class=CToolTip id="tt2042"><div class=CType>Specifies byte ordering</div></div><div class=CToolTip id="tt2043"><div class=CConstant>Selects enumeration value (name) format</div></div><div class=CToolTip id="tt2044"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_enum_wrapper#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_active_passive_enum</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
The <i>uvm_enum_wrapper#(T)</i> class is a utility mechanism provided as a convenience to the end user. </div></div><div class=CToolTip id="tt2045"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_env extends uvm_component
</td></tr></table></blockquote>The base class for hierarchical containers of other components that together comprise a complete environment. </div></div><div class=CToolTip id="tt2046"><div class=CConstant>equal</div></div><div class=CToolTip id="tt2047"><div class=CConstant>Indicates a real problem. </div></div><div class=CToolTip id="tt2048"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_event#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_object</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_event_base</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_event class is an extension of the abstract uvm_event_base class.</div></div><div class=CToolTip id="tt2049"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_event_base extends uvm_object
</td></tr></table></blockquote>The uvm_event_base class is an abstract wrapper class around the SystemVerilog event construct. </div></div><div class=CToolTip id="tt2050"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual class uvm_event_callback#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_object</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_object</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_event_callback class is an abstract class that is used to create callback objects which may be attached to uvm_event#(T)s. </div></div><div class=CToolTip id="tt2051"><div class=CConstant>Terminates the simulation immediately.</div></div><div class=CToolTip id="tt2052"><div class=CConstant>The port provides the interface that is its type parameter via a connection to some other export or implementation.</div></div><div class=CToolTip id="tt2053"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_extract_phase extends uvm_bottomup_phase
</td></tr></table></blockquote>Extract data from different points of the verification environment.</div></div><div class=CToolTip id="tt2054"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_factory
</td></tr></table></blockquote>As the name implies, uvm_factory is used to manufacture (create) UVM objects and components. </div></div><div class=CToolTip id="tt2055"><div class=CConstant>Indicates a problem from which simulation cannot recover. </div></div><div class=CToolTip id="tt2056"><div class=CConstant>Field</div></div><div class=CToolTip id="tt2057"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_final_phase extends uvm_topdown_phase
</td></tr></table></blockquote>Tie up loose ends.</div></div><div class=CToolTip id="tt2058"><div class=CConstant>The sequence is completely finished executing.</div></div><div class=CToolTip id="tt2059"><div class=CMacro>(Optionally) Defines the FIX revision letter.</div></div><div class=CToolTip id="tt2060"><div class=CConstant>Use the front door</div></div><div class=CToolTip id="tt2061"><div class=CConstant>Report is issued if configured verbosity is set to UVM_FULL or above.</div></div><div class=CToolTip id="tt2062"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function uvm_report_object uvm_get_report_object()
</td></tr></table></blockquote>Returns the nearest uvm_report_object when called. </div></div><div class=CToolTip id="tt2063"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function uvm_report_object uvm_get_report_object()
</td></tr></table></blockquote>Returns the nearest uvm_report_object when called. </div></div><div class=CToolTip id="tt2064"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_get_to_lock_dap#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_set_get_dap_base#(T)</td></tr>
</table></td></tr>
</table></blockquote>
Provides a &lsquo;Get-To-Lock&rsquo; Data Access Policy.</div></div><div class=CToolTip id="tt2065"><div class=CConstant>greater than</div></div><div class=CToolTip id="tt2066"><div class=CConstant>greater than or equal to</div></div><div class=CToolTip id="tt2067"><div class=CConstant>Operation completed successfully bit had unknown bits.</div></div><div class=CToolTip id="tt2068"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>import &quot;DPI-C&quot; context function int uvm_hdl_check_path(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>path</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Checks that the given HDL <i>path</i> exists. </div></div><div class=CToolTip id="tt2069"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>import &quot;DPI-C&quot; context function int uvm_hdl_deposit(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_hdl_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>value</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Sets the given HDL <i>path</i> to the specified <i>value</i>. </div></div><div class=CToolTip id="tt2070"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>import &quot;DPI-C&quot; context function int uvm_hdl_force(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_hdl_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>value</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Forces the <i>value</i> on the given <i>path</i>. </div></div><div class=CToolTip id="tt2071"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>task uvm_hdl_force_time(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>path,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_hdl_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>time&nbsp;</td>
<td class=PParameter nowrap>force_time</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Forces the <i>value</i> on the given <i>path</i> for the specified amount of <i>force_time</i>. </div></div><div class=CToolTip id="tt2072"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>parameter int UVM_HDL_MAX_WIDTH = `UVM_HDL_MAX_WIDTH
</td></tr></table></blockquote>Sets the maximum size bit vector for backdoor access. </div></div><div class=CToolTip id="tt2073"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_hdl_path_concat
</td></tr></table></blockquote>Concatenation of HDL variables</div></div><div class=CToolTip id="tt2074"><div class=CType>Slice of an HDL path</div></div><div class=CToolTip id="tt2075"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>import &quot;DPI-C&quot; context function int uvm_hdl_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_hdl_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>value</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Gets the value at the given <i>path</i>. </div></div><div class=CToolTip id="tt2076"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>import &quot;DPI-C&quot; context function int uvm_hdl_release(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>path</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Releases a value previously set with uvm_hdl_force. </div></div><div class=CToolTip id="tt2077"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>import &quot;DPI-C&quot; context function int uvm_hdl_release_and_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>inout&nbsp;</td>
<td class=PType nowrap>uvm_hdl_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>value</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Releases a value previously set with uvm_hdl_force. </div></div><div class=CToolTip id="tt2078"><div class=CClass>Heartbeats provide a way for environments to easily ensure that their descendants are alive. </div></div><div class=CToolTip id="tt2079"><div class=CConstant>Selects hexadecimal (%h) format</div></div><div class=CToolTip id="tt2080"><div class=CConstant>Provide info based on the hierarchical context</div></div><div class=CToolTip id="tt2081"><div class=CType>Whether to provide the requested information from a hierarchical context.</div></div><div class=CToolTip id="tt2082"><div class=CConstant>Report is issued if configured verbosity is set to UVM_HIGH or above.</div></div><div class=CToolTip id="tt2083"><div class=CConstant>The port provides the interface that is its type parameter, and it is bound to the component that implements the interface.</div></div><div class=CToolTip id="tt2084"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_in_order_built_in_comparator #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_in_order_comparator #(T)</td></tr>
</table></td></tr>
</table></blockquote>
This class uses the uvm_built_in_* comparison, converter, and pair classes. </div></div><div class=CToolTip id="tt2085"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_in_order_class_comparator #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_in_order_comparator #( T , uvm_class_comp #( T ) , uvm_class_converter #( T ) , uvm_class_pair #( T, T ) )</td></tr>
</table></td></tr>
</table></blockquote>
This class uses the uvm_class_* comparison, converter, and pair classes. </div></div><div class=CToolTip id="tt2086"><div class=CClass>Compares two streams of data objects of the type parameter, T. </div></div><div class=CToolTip id="tt2087"><div class=CConstant>Informative message.</div></div><div class=CToolTip id="tt2088"><div class=CType>The integral type is used as a argument type for passing integral values of 64 bits or less in such methods as uvm_printer::print_field_int, uvm_recorder::record_field_int, uvm_packer::pack_field_int and uvm_packer::unpack_field_int.</div></div><div class=CToolTip id="tt2089"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit uvm_is_match (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>expr,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>str</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns 1 if the two strings match, 0 otherwise.</div></div><div class=CToolTip id="tt2090"><div class=CConstant>Operation completed successfully</div></div><div class=CToolTip id="tt2091"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_line_printer extends uvm_tree_printer
</td></tr></table></blockquote>The line printer prints output in a line format.</div></div><div class=CToolTip id="tt2092"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_link_base extends uvm_object
</td></tr></table></blockquote>The <i>uvm_link_base</i> class presents a simple API for defining a link between any two objects.</div></div><div class=CToolTip id="tt2093"><div class=CConstant>Least-significant bytes first in consecutive addresses</div></div><div class=CToolTip id="tt2094"><div class=CConstant>Least-significant bytes first at the same address</div></div><div class=CToolTip id="tt2095"><div class=CConstant>Sends the report to the file(s) for this (severity,id) pair</div></div><div class=CToolTip id="tt2096"><div class=CConstant>Report is issued if configured verbosity is set to UVM_LOW or above.</div></div><div class=CToolTip id="tt2097"><div class=CConstant>less than</div></div><div class=CToolTip id="tt2098"><div class=CConstant>less than or equal to</div></div><div class=CToolTip id="tt2099"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_main_phase extends uvm_task_phase
</td></tr></table></blockquote>Primary test stimulus.</div></div><div class=CToolTip id="tt2100"><div class=CMacro>Defines the MAJOR revision number.</div></div><div class=CToolTip id="tt2101"><div class=CMacro>Indicates that the MAJOR version of this release is &lsquo;1&rsquo;.</div></div><div class=CToolTip id="tt2102"><div class=CConstant>Report is issued if configured verbosity is set to UVM_MEDIUM or above.</div></div><div class=CToolTip id="tt2103"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_mem extends uvm_object
</td></tr></table></blockquote>Memory abstraction base class</div></div><div class=CToolTip id="tt2104"><div class=CConstant>Memory location</div></div><div class=CToolTip id="tt2105"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_mem_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verify the accessibility of all memories in a block by executing the uvm_mem_single_access_seq sequence on every memory within it.</div></div><div class=CToolTip id="tt2106"><div class=CType>Convenience callback type declaration for memories</div></div><div class=CToolTip id="tt2107"><div class=CType>Convenience callback iterator type declaration for memories</div></div><div class=CToolTip id="tt2108"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_mem_mam
</td></tr></table></blockquote>Memory allocation manager</div></div><div class=CToolTip id="tt2109"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_mem_mam_cfg
</td></tr></table></blockquote>Specifies the memory managed by an instance of a uvm_mem_mam memory allocation manager class.</div></div><div class=CToolTip id="tt2110"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_mem_mam_policy
</td></tr></table></blockquote>An instance of this class is randomized to determine the starting offset of a randomly allocated memory region. </div></div><div class=CToolTip id="tt2111"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_mem_region
</td></tr></table></blockquote>Allocated memory region descriptor</div></div><div class=CToolTip id="tt2112"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_mem_shared_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verify the accessibility of a shared memory by writing through each address map then reading it via every other address maps in which the memory is readable and the backdoor, making sure that the resulting value matches the written value.</div></div><div class=CToolTip id="tt2113"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_mem_single_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verify the accessibility of a memory by writing through its default address map then reading it via the backdoor, then reversing the process, making sure that the resulting value matches the written value.</div></div><div class=CToolTip id="tt2114"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_mem_single_walk_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Runs the walking-ones algorithm on the memory given by the mem property, which must be assigned prior to starting this sequence.</div></div><div class=CToolTip id="tt2115"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_mem_walk_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verifies the all memories in a block by executing the uvm_mem_single_walk_seq sequence on every memory within it.</div></div><div class=CToolTip id="tt2116"><div class=CMacro>Defines the MINOR revision number.</div></div><div class=CToolTip id="tt2117"><div class=CMacro>Indicates that the MINOR version of this release is &lsquo;2&rsquo;.</div></div><div class=CToolTip id="tt2118"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_monitor extends uvm_component
</td></tr></table></blockquote>This class should be used as the base class for user-defined monitors.</div></div><div class=CToolTip id="tt2119"><div class=CMacro>The name used by the library when displaying the name of the library.</div></div><div class=CToolTip id="tt2120"><div class=CConstant>not equal</div></div><div class=CToolTip id="tt2121"><div class=CConstant>No action is taken</div></div><div class=CToolTip id="tt2122"><div class=CConstant>Read only</div></div><div class=CToolTip id="tt2123"><div class=CConstant>None</div></div><div class=CToolTip id="tt2124"><div class=CConstant>Byte ordering not applicable</div></div><div class=CToolTip id="tt2125"><div class=CConstant>Provide info from the local context</div></div><div class=CToolTip id="tt2126"><div class=CConstant>Report is always printed. </div></div><div class=CToolTip id="tt2127"><div class=CConstant>Operation completed with error</div></div><div class=CToolTip id="tt2128"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_object extends uvm_void
</td></tr></table></blockquote>The uvm_object class is the base class for all UVM data and hierarchical classes. </div></div><div class=CToolTip id="tt2129"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_object_registry #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_object,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>Tname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;&lt;unknown&gt;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_object_wrapper</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_object_registry serves as a lightweight proxy for a uvm_object of type <i>T</i> and type name <i>Tname</i>, a string. </div></div><div class=CToolTip id="tt2130"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_object_string_pool #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_object</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_pool #(string,T)</td></tr>
</table></td></tr>
</table></blockquote>
This provides a specialization of the generic uvm_pool #(KEY,T) class for an associative array of uvm_object-based objects indexed by string. </div></div><div class=CToolTip id="tt2131"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_object_wrapper
</td></tr></table></blockquote>The uvm_object_wrapper provides an abstract interface for creating object and component proxies. </div></div><div class=CToolTip id="tt2132"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_objection extends uvm_report_object
</td></tr></table></blockquote>Objections provide a facility for coordinating status information between two or more participating components, objects, and even module-based IP.</div></div><div class=CToolTip id="tt2133"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_objection_callback extends uvm_callback
</td></tr></table></blockquote>The uvm_objection is the callback type that defines the callback implementations for an objection callback. </div></div><div class=CToolTip id="tt2134"><div class=CType>Enumerated the possible objection events one could wait on. </div></div><div class=CToolTip id="tt2135"><div class=CConstant>Selects octal (%o) format</div></div><div class=CToolTip id="tt2136"><div class=CClass>The uvm_packer class provides a policy object for packing and unpacking uvm_objects. </div></div><div class=CToolTip id="tt2137"><div class=CSection>This section defines container classes for handling value pairs.</div></div><div class=CToolTip id="tt2138"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_parent_child_link extends uvm_link_base
</td></tr></table></blockquote>The <i>uvm_parent_child_link</i> is used to represent a Parent/Child relationship between two objects.</div></div><div class=CToolTip id="tt2139"><div class=CConstant>&ldquo;Passive&rdquo; mode</div></div><div class=CToolTip id="tt2140"><div class=CType>Path used for register operation</div></div><div class=CToolTip id="tt2141"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_phase extends uvm_object
</td></tr></table></blockquote>This base class defines everything about a phase: behavior, state, and context.</div></div><div class=CToolTip id="tt2142"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_phase_cb extends uvm_callback
</td></tr></table></blockquote>This class defines a callback method that is invoked by the phaser during the execution of a specific node in the phase graph or all phase nodes. </div></div><div class=CToolTip id="tt2143"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=2>typedef uvm_callbacks#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PParameter nowrap width=100%>uvm_phase,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PParameter nowrap width=100%>uvm_phase_cb</td>
</tr>
<tr>
<td class=PAfterParameters colspan=2>) uvm_phase_cb_pool</td></tr>
</table></td></tr>
</table></blockquote>
Convenience type for the uvm_callbacks#(uvm_phase, uvm_phase_cb) class.</div></div><div class=CToolTip id="tt2144"><div class=CConstant>all processes related to phase are being killed</div></div><div class=CToolTip id="tt2145"><div class=CConstant>This object represents an entire graph segment that executes in parallel with the &lsquo;run&rsquo; phase. </div></div><div class=CToolTip id="tt2146"><div class=CConstant>A phase is done after it terminated execution. </div></div><div class=CToolTip id="tt2147"><div class=CConstant>The schedule is not currently operating on the phase node, however it will be scheduled at some point in the future.</div></div><div class=CToolTip id="tt2148"><div class=CConstant>phase completed execution, now running phase_ended() callback</div></div><div class=CToolTip id="tt2149"><div class=CConstant>An executing phase is one where the phase callbacks are being executed. </div></div><div class=CToolTip id="tt2150"><div class=CConstant>The phase object is used to traverse the component hierarchy and call the component phase method as well as the <i>phase_started</i> and <i>phase_ended</i> callbacks. </div></div><div class=CToolTip id="tt2151"><div class=CConstant>all processes related to phase are being killed and all predecessors are forced into the DONE state.</div></div><div class=CToolTip id="tt2152"><div class=CConstant>The object represents a simple node instance in the graph. </div></div><div class=CToolTip id="tt2153"><div class=CConstant>no objections remain in this phase or in any predecessors of its successors or in any sync&rsquo;d phases. </div></div><div class=CToolTip id="tt2154"><div class=CConstant>The object represents a portion of the phasing graph, typically consisting of several NODE types, in series, parallel, or both.</div></div><div class=CToolTip id="tt2155"><div class=CConstant>At least one immediate predecessor has completed. </div></div><div class=CToolTip id="tt2156"><div class=CConstant>phase ready to execute, running phase_started() callback</div></div><div class=CToolTip id="tt2157"><div class=CType>The set of possible states of a phase. </div></div><div class=CToolTip id="tt2158"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_phase_state_change extends uvm_object
</td></tr></table></blockquote>Phase state transition descriptor. </div></div><div class=CToolTip id="tt2159"><div class=CConstant>All predecessors complete, checking that all synced phases (e.g. </div></div><div class=CToolTip id="tt2160"><div class=CConstant>This internal object serves as the termination NODE for a SCHEDULE phase object.</div></div><div class=CToolTip id="tt2161"><div class=CType>This is an attribute of a uvm_phase object which defines the phase type.</div></div><div class=CToolTip id="tt2162"><div class=CConstant>The state is uninitialized. </div></div><div class=CToolTip id="tt2163"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_pool #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>KEY</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_void</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_object</td></tr>
</table></td></tr>
</table></blockquote>
Implements a class-based dynamic associative array. </div></div><div class=CToolTip id="tt2164"><div class=CConstant>The port requires the interface that is its type parameter.</div></div><div class=CToolTip id="tt2165"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual class uvm_port_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>IF</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_void</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends IF</td></tr>
</table></td></tr>
</table></blockquote>
Transaction-level communication between components is handled via its ports, exports, and imps, all of which derive from this class.</div></div><div class=CToolTip id="tt2166"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_port_component #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>PORT</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_object</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_component_base</td></tr>
</table></td></tr>
</table></blockquote>
See description of uvm_port_component_base for information about this class</div></div><div class=CToolTip id="tt2167"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_port_component_base extends uvm_component
</td></tr></table></blockquote>This class defines an interface for obtaining a port&rsquo;s connectivity lists after or during the end_of_elaboration phase. </div></div><div class=CToolTip id="tt2168"><div class=CType>Specifies the type of port</div></div><div class=CToolTip id="tt2169"><div class=CConstant>The sequence is started and the uvm_sequence_base::post_body() task is being executed.</div></div><div class=CToolTip id="tt2170"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_post_configure_phase extends uvm_task_phase
</td></tr></table></blockquote>After the SW has configured the DUT.</div></div><div class=CToolTip id="tt2171"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_post_main_phase extends uvm_task_phase
</td></tr></table></blockquote>After enough of the primary test stimulus.</div></div><div class=CToolTip id="tt2172"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_post_reset_phase extends uvm_task_phase
</td></tr></table></blockquote>After reset is de-asserted.</div></div><div class=CToolTip id="tt2173"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_post_shutdown_phase extends uvm_task_phase
</td></tr></table></blockquote>After things have settled down.</div></div><div class=CToolTip id="tt2174"><div class=CConstant>The sequence is started and the uvm_sequence_base::post_start() task is being executed.</div></div><div class=CToolTip id="tt2175"><div class=CMacro>Indicates that this version of the UVM came after the 1.1 versions, including the various 1.1 fix revisions.</div></div><div class=CToolTip id="tt2176"><div class=CConstant>The sequence is started and the uvm_sequence_base::pre_body() task is being executed.</div></div><div class=CToolTip id="tt2177"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_pre_configure_phase extends uvm_task_phase
</td></tr></table></blockquote>Before the DUT is configured by the SW.</div></div><div class=CToolTip id="tt2178"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_pre_main_phase extends uvm_task_phase
</td></tr></table></blockquote>Before the primary test stimulus starts.</div></div><div class=CToolTip id="tt2179"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_pre_reset_phase extends uvm_task_phase
</td></tr></table></blockquote>Before reset is asserted.</div></div><div class=CToolTip id="tt2180"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_pre_shutdown_phase extends uvm_task_phase
</td></tr></table></blockquote>Before things settle down.</div></div><div class=CToolTip id="tt2181"><div class=CConstant>The sequence is started and the uvm_sequence_base::pre_start() task is being executed.</div></div><div class=CToolTip id="tt2182"><div class=CConstant>Operation derived from observations by a bus monitor via the uvm_reg_predictor class.</div></div><div class=CToolTip id="tt2183"><div class=CConstant>Predicted value is as-is</div></div><div class=CToolTip id="tt2184"><div class=CType>How the mirror is to be updated</div></div><div class=CToolTip id="tt2185"><div class=CConstant>Predict based on the specified value having been read</div></div><div class=CToolTip id="tt2186"><div class=CConstant>Predict based on the specified value having been written</div></div><div class=CToolTip id="tt2187"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_printer
</td></tr></table></blockquote>The uvm_printer class provides an interface for printing uvm_objects in various formats. </div></div><div class=CToolTip id="tt2188"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_printer_knobs
</td></tr></table></blockquote>The <i>uvm_printer_knobs</i> class defines the printer settings available to all printer subtypes.</div></div><div class=CToolTip id="tt2189"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function void uvm_process_report_message(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_report_message&nbsp;</td>
<td class=PParameter nowrap width=100%>report_message</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
This method, defined in package scope, is a convenience function that delegate to the corresponding component method in <i>uvm_top</i>. </div></div><div class=CToolTip id="tt2190"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void uvm_process_report_message(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_report_message&nbsp;</td>
<td class=PParameter nowrap width=100%>report_message</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
This method takes a preformed uvm_report_message, populates it with the report object and passes it to the report handler for processing. </div></div><div class=CToolTip id="tt2191"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_push_driver #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_sequence_item,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>REQ</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_component</td></tr>
</table></td></tr>
</table></blockquote>
Base class for a driver that passively receives transactions, i.e. </div></div><div class=CToolTip id="tt2192"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_push_sequencer #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_sequence_item,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>REQ</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_sequencer_param_base #(REQ, RSP)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2193"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_queue #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_object</td></tr>
</table></td></tr>
</table></blockquote>
Implements a class-based dynamic queue. </div></div><div class=CToolTip id="tt2194"><div class=CType>Specifies the radix to print or record in.</div></div><div class=CToolTip id="tt2195"><div class=CConstant>an objection was raised</div></div><div class=CToolTip id="tt2196"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_random_stimulus #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_transaction</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_component</td></tr>
</table></td></tr>
</table></blockquote>
A general purpose unidirectional random stimulus class.</div></div><div class=CToolTip id="tt2197"><div class=CConstant>Read operation</div></div><div class=CToolTip id="tt2198"><div class=CConstant>Selects real (%g) in exponential or decimal format, whichever format results in the shorter printed output</div></div><div class=CToolTip id="tt2199"><div class=CConstant>Selects real (%f) in decimal format</div></div><div class=CToolTip id="tt2200"><div class=CConstant>Selects real (%e) in exponential format</div></div><div class=CToolTip id="tt2201"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_recorder extends uvm_object
</td></tr></table></blockquote>Abstract class which defines the <i>recorder</i> API.</div></div><div class=CToolTip id="tt2202"><div class=CType>Specifies the policy for copying objects.</div></div><div class=CToolTip id="tt2203"><div class=CConstant>Only object handles are copied.</div></div><div class=CToolTip id="tt2204"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg extends uvm_object
</td></tr></table></blockquote>Register abstraction base class</div></div><div class=CToolTip id="tt2205"><div class=CConstant>Register</div></div><div class=CToolTip id="tt2206"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_reg_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verify the accessibility of all registers in a block by executing the uvm_reg_single_access_seq sequence on every register within it.</div></div><div class=CToolTip id="tt2207"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg_adapter extends uvm_object
</td></tr></table></blockquote>This class defines an interface for converting between uvm_reg_bus_op and a specific bus transaction.</div></div><div class=CToolTip id="tt2208"><div class=CType>4-state address value with `UVM_REG_ADDR_WIDTH bits</div></div><div class=CToolTip id="tt2209"><div class=CType>2-state address value with `UVM_REG_ADDR_WIDTH bits</div></div><div class=CToolTip id="tt2210"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_backdoor extends uvm_object
</td></tr></table></blockquote>Base class for user-defined back-door register and memory access.</div></div><div class=CToolTip id="tt2211"><div class=CType>Convenience callback type declaration for backdoor</div></div><div class=CToolTip id="tt2212"><div class=CType>Convenience callback iterator type declaration for backdoor</div></div><div class=CToolTip id="tt2213"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_reg_bit_bash_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verify the implementation of all registers in a block by executing the uvm_reg_single_bit_bash_seq sequence on it.</div></div><div class=CToolTip id="tt2214"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg_block extends uvm_object
</td></tr></table></blockquote>Block abstraction base class</div></div><div class=CToolTip id="tt2215"><div class=CClass>Struct that defines a generic bus transaction for register and memory accesses, having <i>kind</i> (read or write), <i>address</i>, <i>data</i>, and <i>byte enable</i> information. </div></div><div class=CToolTip id="tt2216"><div class=CType>2-state byte_enable value with `UVM_REG_BYTENABLE_WIDTH bits</div></div><div class=CToolTip id="tt2217"><div class=CType>Convenience callback type declaration for registers</div></div><div class=CToolTip id="tt2218"><div class=CType>Convenience callback iterator type declaration for registers</div></div><div class=CToolTip id="tt2219"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg_cbs extends uvm_callback
</td></tr></table></blockquote>Facade class for field, register, memory and backdoor access callback methods.</div></div><div class=CToolTip id="tt2220"><div class=CType>Coverage model value set with `UVM_REG_CVR_WIDTH bits.</div></div><div class=CToolTip id="tt2221"><div class=CType>4-state data value with `UVM_REG_DATA_WIDTH bits</div></div><div class=CToolTip id="tt2222"><div class=CType>2-state data value with `UVM_REG_DATA_WIDTH bits</div></div><div class=CToolTip id="tt2223"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_field extends uvm_object
</td></tr></table></blockquote>Field abstraction class</div></div><div class=CToolTip id="tt2224"><div class=CType>Convenience callback type declaration for fields</div></div><div class=CToolTip id="tt2225"><div class=CType>Convenience callback iterator type declaration for fields</div></div><div class=CToolTip id="tt2226"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_fifo extends uvm_reg
</td></tr></table></blockquote>This special register models a DUT FIFO accessed via write/read, where writes push to the FIFO and reads pop from it.</div></div><div class=CToolTip id="tt2227"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg_file extends uvm_object
</td></tr></table></blockquote>Register file abstraction base class</div></div><div class=CToolTip id="tt2228"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual class uvm_reg_frontdoor extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_sequence_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Facade class for register and memory frontdoor access.</div></div><div class=CToolTip id="tt2229"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_reg_hw_reset_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Test the hard reset values of registers</div></div><div class=CToolTip id="tt2230"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_indirect_data extends uvm_reg
</td></tr></table></blockquote>Indirect data access abstraction class</div></div><div class=CToolTip id="tt2231"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_item extends uvm_sequence_item
</td></tr></table></blockquote>Defines an abstract register transaction item. </div></div><div class=CToolTip id="tt2232"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_map extends uvm_object
</td></tr></table></blockquote></div></div><div class=CToolTip id="tt2233"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_reg_mem_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verify the accessibility of all registers and memories in a block by executing the uvm_reg_access_seq and uvm_mem_access_seq sequence respectively on every register and memory within it.</div></div><div class=CToolTip id="tt2234"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_reg_mem_built_in_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Sequence that executes a user-defined selection of pre-defined register and memory test sequences.</div></div><div class=CToolTip id="tt2235"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_reg_mem_hdl_paths_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verify the correctness of HDL paths specified for registers and memories.</div></div><div class=CToolTip id="tt2236"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_reg_mem_shared_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verify the accessibility of all shared registers and memories in a block by executing the uvm_reg_shared_access_seq and uvm_mem_shared_access_seq sequence respectively on every register and memory within it.</div></div><div class=CToolTip id="tt2237"><div class=CType>Select which pre-defined test sequence to execute.</div></div><div class=CToolTip id="tt2238"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_reg_predictor #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>BUSTYPE</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_component</td></tr>
</table></td></tr>
</table></blockquote>
Updates the register model mirror based on observed bus transactions</div></div><div class=CToolTip id="tt2239"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_read_only_cbs extends uvm_reg_cbs
</td></tr></table></blockquote>Pre-defined register callback method for read-only registers that will issue an error if a write() operation is attempted.</div></div><div class=CToolTip id="tt2240"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>BASE</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_sequence #(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends BASE</td></tr>
</table></td></tr>
</table></blockquote>
This class provides base functionality for both user-defined RegModel test sequences and &ldquo;register translation sequences&rdquo;.</div></div><div class=CToolTip id="tt2241"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_reg_shared_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verify the accessibility of a shared register by writing through each address map then reading it via every other address maps in which the register is readable and the backdoor, making sure that the resulting value matches the mirrored value.</div></div><div class=CToolTip id="tt2242"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_reg_single_access_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verify the accessibility of a register by writing through its default address map then reading it via the backdoor, then reversing the process, making sure that the resulting value matches the mirrored value.</div></div><div class=CToolTip id="tt2243"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>class uvm_reg_single_bit_bash_seq extends uvm_reg_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence&nbsp;</td>
<td class=PParameter nowrap width=100%>#(uvm_reg_item)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Verify the implementation of a single register by attempting to write 1&rsquo;s and 0&rsquo;s to every bit in it, via every address map in which the register is mapped, making sure that the resulting value matches the mirrored value.</div></div><div class=CToolTip id="tt2244"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_tlm_adapter extends uvm_reg_adapter
</td></tr></table></blockquote>For converting between uvm_reg_bus_op and uvm_tlm_gp items.</div></div><div class=CToolTip id="tt2245"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_reg_transaction_order_policy extends uvm_object
</td></tr></table></blockquote></div></div><div class=CToolTip id="tt2246"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reg_write_only_cbs extends uvm_reg_cbs
</td></tr></table></blockquote>Pre-defined register callback method for write-only registers that will issue an error if a read() operation is attempted.</div></div><div class=CToolTip id="tt2247"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_related_link extends uvm_link_base
</td></tr></table></blockquote>The <i>uvm_related_link</i> is used to represent a generic &ldquo;is related&rdquo; link between two objects.</div></div><div class=CToolTip id="tt2248"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void uvm_report(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> (severity == uvm_severity'(UVM_ERROR)) ? UVM_LOW : (severity == uvm_severity'(UVM_FATAL)) ? UVM_NONE : UVM_MEDIUM,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2249"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>protected function void uvm_report(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Issues a message using the current message&rsquo;s report object. </div></div><div class=CToolTip id="tt2250"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void uvm_report(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> (severity == uvm_severity'(UVM_ERROR)) ? UVM_LOW : (severity == uvm_severity'(UVM_FATAL)) ? UVM_NONE : UVM_MEDIUM,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2251"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void uvm_report(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> (severity == uvm_severity'(UVM_ERROR)) ? UVM_LOW : (severity == uvm_severity'(UVM_FATAL)) ? UVM_NONE : UVM_MEDIUM,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2252"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_report_catcher extends uvm_callback
</td></tr></table></blockquote>The uvm_report_catcher is used to catch messages issued by the uvm report server. </div></div><div class=CToolTip id="tt2253"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function int uvm_report_enabled (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>UVM_INFO,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns 1 if the configured verbosity in <i>uvm_top</i> for this severity/id is greater than or equal to <i>verbosity</i> else returns 0.</div></div><div class=CToolTip id="tt2254"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function int uvm_report_enabled(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap>severity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_INFO,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns 1 if the configured verbosity for this severity/id is greater than or equal to <i>verbosity</i> else returns 0.</div></div><div class=CToolTip id="tt2255"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void uvm_report_error(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_LOW,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2256"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>protected function void uvm_report_error(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Issues an error message using the current message&rsquo;s report object. </div></div><div class=CToolTip id="tt2257"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void uvm_report_error(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_LOW,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2258"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void uvm_report_error(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_LOW,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2259"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void uvm_report_fatal(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_NONE,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
These methods, defined in package scope, are convenience functions that delegate to the corresponding component methods in <i>uvm_top</i>. </div></div><div class=CToolTip id="tt2260"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>protected function void uvm_report_fatal(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Issues a fatal message using the current message&rsquo;s report object. </div></div><div class=CToolTip id="tt2261"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void uvm_report_fatal(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_NONE,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
These are the primary reporting methods in the UVM. </div></div><div class=CToolTip id="tt2262"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void uvm_report_fatal(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_NONE,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
These are the primary reporting methods in the UVM. </div></div><div class=CToolTip id="tt2263"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_handler extends uvm_object
</td></tr></table></blockquote>The uvm_report_handler is the class to which most methods in uvm_report_object delegate. </div></div><div class=CToolTip id="tt2264"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void uvm_report_info(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_MEDIUM,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2265"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>protected function void uvm_report_info(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Issues a info message using the current message&rsquo;s report object. </div></div><div class=CToolTip id="tt2266"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void uvm_report_info(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_MEDIUM,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2267"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void uvm_report_info(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_MEDIUM,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2268"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_message extends uvm_object
</td></tr></table></blockquote>The uvm_report_message is the basic UVM object message class. </div></div><div class=CToolTip id="tt2269"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_report_message_element_base
</td></tr></table></blockquote>Base class for report message element. </div></div><div class=CToolTip id="tt2270"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_message_element_container extends uvm_object
</td></tr></table></blockquote>A container used by report message to contain the dynamically added elements, with APIs to add and delete the elements.</div></div><div class=CToolTip id="tt2271"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_message_int_element extends uvm_report_message_element_base
</td></tr></table></blockquote>Message element class for integral type</div></div><div class=CToolTip id="tt2272"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_message_object_element extends uvm_report_message_element_base
</td></tr></table></blockquote>Message element class for object type</div></div><div class=CToolTip id="tt2273"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_message_string_element extends uvm_report_message_element_base
</td></tr></table></blockquote>Message element class for string type</div></div><div class=CToolTip id="tt2274"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_object extends uvm_object
</td></tr></table></blockquote>The uvm_report_object provides an interface to the UVM reporting facility. </div></div><div class=CToolTip id="tt2275"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_report_phase extends uvm_bottomup_phase
</td></tr></table></blockquote>Report results of the test.</div></div><div class=CToolTip id="tt2276"><div class=CClass>uvm_report_server is a global server that processes all of the reports generated by a uvm_report_handler.</div></div><div class=CToolTip id="tt2277"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void uvm_report_warning(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_MEDIUM,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2278"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>protected function void uvm_report_warning(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Issues a warning message using the current message&rsquo;s report object. </div></div><div class=CToolTip id="tt2279"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void uvm_report_warning(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_MEDIUM,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2280"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void uvm_report_warning(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>id,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>message,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>verbosity</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_MEDIUM,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>filename</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>line</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>context_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>report_enabled_checked</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2281"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_reset_phase extends uvm_task_phase
</td></tr></table></blockquote>Reset is asserted.</div></div><div class=CToolTip id="tt2282"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_resource #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_resource_base</td></tr>
</table></td></tr>
</table></blockquote>
Parameterized resource. </div></div><div class=CToolTip id="tt2283"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_resource_base extends uvm_object
</td></tr></table></blockquote>Non-parameterized base class for resources. </div></div><div class=CToolTip id="tt2284"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_resource_db #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_object</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
All of the functions in uvm_resource_db#(T) are static, so they must be called using the :: operator. </div></div><div class=CToolTip id="tt2285"><div class=CClass>Provides a namespace for managing options for the resources DB facility. </div></div><div class=CToolTip id="tt2286"><div class=CClass>Provides a namespace for managing options for the resources facility. </div></div><div class=CToolTip id="tt2287"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_resource_pool
</td></tr></table></blockquote>The global (singleton) resource database.</div></div><div class=CToolTip id="tt2288"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_resource_types
</td></tr></table></blockquote>Provides typedefs and enums used throughout the resources facility. </div></div><div class=CToolTip id="tt2289"><div class=CConstant>Sends the report to the recorder</div></div><div class=CToolTip id="tt2290"><div class=CClass>The <i>uvm_root</i> class serves as the implicit top-level and phase controller for all UVM components. </div></div><div class=CToolTip id="tt2291"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_run_phase extends uvm_task_phase
</td></tr></table></blockquote>Stimulate the DUT.</div></div><div class=CToolTip id="tt2292"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_scoreboard extends uvm_component
</td></tr></table></blockquote>The uvm_scoreboard virtual class should be used as the base class for user-defined scoreboards.</div></div><div class=CToolTip id="tt2293"><div class=CConstant>Requests are granted in FIFO order (default)</div></div><div class=CToolTip id="tt2294"><div class=CConstant>Requests are granted randomly</div></div><div class=CToolTip id="tt2295"><div class=CConstant>Requests at highest priority granted in fifo order</div></div><div class=CToolTip id="tt2296"><div class=CConstant>Requests at highest priority granted in randomly</div></div><div class=CToolTip id="tt2297"><div class=CConstant>Arbitration is delegated to the user-defined function, user_priority_arbitration. </div></div><div class=CToolTip id="tt2298"><div class=CConstant>Requests are granted randomly by weight</div></div><div class=CToolTip id="tt2299"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_seq_item_pull_export #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>REQ</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))</td></tr>
</table></td></tr>
</table></blockquote>
This export type is used in sequencer-driver communication. </div></div><div class=CToolTip id="tt2300"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_seq_item_pull_imp #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>REQ,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>IMP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))</td></tr>
</table></td></tr>
</table></blockquote>
This imp type is used in sequencer-driver communication. </div></div><div class=CToolTip id="tt2301"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_seq_item_pull_port #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>REQ</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))</td></tr>
</table></td></tr>
</table></blockquote>
UVM provides a port, export, and imp connector for use in sequencer-driver communication. </div></div><div class=CToolTip id="tt2302"><div class=CConstant>Emit only items, no sequence execution</div></div><div class=CToolTip id="tt2303"><div class=CConstant>Random sequence selection</div></div><div class=CToolTip id="tt2304"><div class=CConstant>Random cyclic sequence selection</div></div><div class=CToolTip id="tt2305"><div class=CConstant>Apply a user-defined random-selection algorithm</div></div><div class=CToolTip id="tt2306"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual class uvm_sequence #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> uvm_sequence_item,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> REQ</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_sequence_base</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_sequence class provides the interfaces necessary in order to create streams of sequence items and/or other sequences.</div></div><div class=CToolTip id="tt2307"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_sequence_base extends uvm_sequence_item
</td></tr></table></blockquote>The uvm_sequence_base class provides the interfaces needed to create streams of sequence items and/or other sequences.</div></div><div class=CToolTip id="tt2308"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_sequence_item extends uvm_transaction
</td></tr></table></blockquote>The base class for user-defined sequence items and also the base class for the uvm_sequence class. </div></div><div class=CToolTip id="tt2309"><div class=CType>Specifies the random selection mode of a sequence library</div></div><div class=CToolTip id="tt2310"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_sequence_library #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_sequence_item,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>REQ</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_sequence #(REQ,RSP)</td></tr>
</table></td></tr>
</table></blockquote>
The <i>uvm_sequence_library</i> is a sequence that contains a list of registered sequence types. </div></div><div class=CToolTip id="tt2311"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_sequence_library_cfg extends uvm_object
</td></tr></table></blockquote>A convenient container class for configuring all the sequence library parameters using a single <i>set</i> command.</div></div><div class=CToolTip id="tt2312"><div class=CMacro>All subtypes of this class must invoke the `uvm_sequence_library_utils macro.</div></div><div class=CToolTip id="tt2313"><div class=CType>Defines current sequence state</div></div><div class=CToolTip id="tt2314"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_sequencer #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_sequence_item,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>REQ</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_sequencer_param_base #(REQ, RSP)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt2315"><div class=CType>Specifies a sequencer&rsquo;s arbitration mode</div></div><div class=CToolTip id="tt2316"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_sequencer_base extends uvm_component
</td></tr></table></blockquote>Controls the flow of sequences, which generate the stimulus (sequence item transactions) that is passed on to drivers for execution.</div></div><div class=CToolTip id="tt2317"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_sequencer_param_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> uvm_sequence_item,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> REQ</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_sequencer_base</td></tr>
</table></td></tr>
</table></blockquote>
Extends uvm_sequencer_base with an API depending on specific request (REQ) and response (RSP) types.</div></div><div class=CToolTip id="tt2318"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_set_before_get_dap#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_set_get_dap_base#(T)</td></tr>
</table></td></tr>
</table></blockquote>
Provides a &lsquo;Set Before Get&rsquo; Data Access Policy.</div></div><div class=CToolTip id="tt2319"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual class uvm_set_get_dap_base#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_object</td></tr>
</table></td></tr>
</table></blockquote>
Provides the &lsquo;set&rsquo; and &lsquo;get&rsquo; interface for Data Access Policies (DAPs)</div></div><div class=CToolTip id="tt2320"><div class=CType>Defines all possible values for report severity.</div></div><div class=CToolTip id="tt2321"><div class=CConstant>Objects are shallow copied using default SV copy.</div></div><div class=CToolTip id="tt2322"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_shutdown_phase extends uvm_task_phase
</td></tr></table></blockquote>Letting things settle down.</div></div><div class=CToolTip id="tt2323"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_simple_lock_dap#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_set_get_dap_base#(T)</td></tr>
</table></td></tr>
</table></blockquote>
Provides a &lsquo;Simple Lock&rsquo; Data Access Policy.</div></div><div class=CToolTip id="tt2324"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>function automatic void uvm_split_string (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>str,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>byte&nbsp;</td>
<td class=PParameter nowrap width=100%>sep,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>values[$]</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns a queue of strings, <i>values</i>, that is the result of the <i>str</i> split based on the <i>sep</i>. </div></div><div class=CToolTip id="tt2325"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual class uvm_sqr_if_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T1</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_object,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>&nbsp;</td>
<td class=PParameter nowrap>T2</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>T1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
This class defines an interface for sequence drivers to communicate with sequencers. </div></div><div class=CToolTip id="tt2326"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_start_of_simulation_phase extends uvm_bottomup_phase
</td></tr></table></blockquote>Get ready for DUT to be simulated.</div></div><div class=CToolTip id="tt2327"><div class=CType>Return status for register operations</div></div><div class=CToolTip id="tt2328"><div class=CConstant>Causes <i>$stop</i> to be executed, putting the simulation into interactive mode.</div></div><div class=CToolTip id="tt2329"><div class=CConstant>The sequence has been forcibly ended by issuing a uvm_sequence_base::kill() on the sequence.</div></div><div class=CToolTip id="tt2330"><div class=CConstant>Selects string (%s) format</div></div><div class=CToolTip id="tt2331"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function logic[UVM_LARGE_STRING:0] uvm_string_to_bits(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>str</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Converts an input string to its bit-vector equivalent. </div></div><div class=CToolTip id="tt2332"><div class=CClass>The uvm_structure_proxy is a wrapper and provides a set of elements of the STRUCTURE to the caller on demand. </div></div><div class=CToolTip id="tt2333"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual class uvm_subscriber #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_component</td></tr>
</table></td></tr>
</table></blockquote>
This class provides an analysis export for receiving transactions from a connected analysis export. </div></div><div class=CToolTip id="tt2334"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_table_printer extends uvm_printer
</td></tr></table></blockquote>The table printer prints output in a tabular format.</div></div><div class=CToolTip id="tt2335"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_task_phase extends uvm_phase
</td></tr></table></blockquote>Base class for all task phases. </div></div><div class=CToolTip id="tt2336"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_test extends uvm_component
</td></tr></table></blockquote>This class is the virtual base class for the user-defined tests.</div></div><div class=CToolTip id="tt2337"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_text_recorder extends uvm_recorder
</td></tr></table></blockquote>The <i>uvm_text_recorder</i> is the default recorder implementation for the uvm_text_tr_database.</div></div><div class=CToolTip id="tt2338"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_text_tr_database extends uvm_tr_database
</td></tr></table></blockquote>The <i>uvm_text_tr_database</i> is the default implementation for the uvm_tr_database. </div></div><div class=CToolTip id="tt2339"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_text_tr_stream extends uvm_tr_stream
</td></tr></table></blockquote>The <i>uvm_text_tr_stream</i> is the default stream implementation for the uvm_text_tr_database.</div></div><div class=CToolTip id="tt2340"><div class=CConstant>Selects time (%t) format</div></div><div class=CToolTip id="tt2341"><div class=CConstant>Transaction has been accepted</div></div><div class=CToolTip id="tt2342"><div class=CConstant>Invalid address specified</div></div><div class=CToolTip id="tt2343"><div class=CClass>An analysis_fifo is a uvm_tlm_fifo#(T) with an unbounded size and a write interface. </div></div><div class=CToolTip id="tt2344"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_b_initiator_socket #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_tlm_b_initiator_socket_base #(T)</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward port; has no backward path except via the payload contents</div></div><div class=CToolTip id="tt2345"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_b_initiator_socket_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward port; has no backward path except via the payload contents</div></div><div class=CToolTip id="tt2346"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_b_passthrough_initiator_socket #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_tlm_b_passthrough_initiator_socket_base #(T)</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward port;</div></div><div class=CToolTip id="tt2347"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_b_passthrough_initiator_socket_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward port</div></div><div class=CToolTip id="tt2348"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_b_passthrough_target_socket #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_tlm_b_passthrough_target_socket_base #(T)</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward export;</div></div><div class=CToolTip id="tt2349"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_b_passthrough_target_socket_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward export</div></div><div class=CToolTip id="tt2350"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_b_target_socket #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>IMP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_tlm_b_target_socket_base #(T)</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward imp; has no backward path except via the payload contents.</div></div><div class=CToolTip id="tt2351"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_b_target_socket_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward imp; has no backward path except via the payload contents.</div></div><div class=CToolTip id="tt2352"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_b_transport_export #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr>
</table></td></tr>
</table></blockquote>
Blocking transport export class.</div></div><div class=CToolTip id="tt2353"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_b_transport_imp #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>IMP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr>
</table></td></tr>
</table></blockquote>
Used like exports, except an additional class parameter specifies the type of the implementation object. </div></div><div class=CToolTip id="tt2354"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_b_transport_port #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T))</td></tr>
</table></td></tr>
</table></blockquote>
Class providing the blocking transport port. </div></div><div class=CToolTip id="tt2355"><div class=CConstant>Invalid burst specified</div></div><div class=CToolTip id="tt2356"><div class=CConstant>Invalid byte enabling specified</div></div><div class=CToolTip id="tt2357"><div class=CType>Command attribute type definition</div></div><div class=CToolTip id="tt2358"><div class=CConstant>Invalid command specified</div></div><div class=CToolTip id="tt2359"><div class=CConstant>Execution of transaction is complete</div></div><div class=CToolTip id="tt2360"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_extension #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_tlm_extension_base</td></tr>
</table></td></tr>
</table></blockquote>
TLM extension class. </div></div><div class=CToolTip id="tt2361"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_tlm_extension_base extends uvm_object
</td></tr></table></blockquote>The class uvm_tlm_extension_base is the non-parameterized base class for all generic payload extensions. </div></div><div class=CToolTip id="tt2362"><div class=CClass>This class provides storage of transactions between two independently running processes. </div></div><div class=CToolTip id="tt2363"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual class uvm_tlm_fifo_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_component</td></tr>
</table></td></tr>
</table></blockquote>
This class is the base for uvm_tlm_fifo#(T). </div></div><div class=CToolTip id="tt2364"><div class=CConstant>Bus operation had an error</div></div><div class=CToolTip id="tt2365"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_tlm_generic_payload extends uvm_sequence_item
</td></tr></table></blockquote>This class provides a transaction definition commonly used in memory-mapped bus-based systems. </div></div><div class=CToolTip id="tt2366"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>typedef uvm_tlm_generic_payload uvm_tlm_gp
</td></tr></table></blockquote>This typedef provides a short, more convenient name for the uvm_tlm_generic_payload type.</div></div><div class=CToolTip id="tt2367"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_if #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Base class type to define the transport functions.</div></div><div class=CToolTip id="tt2368"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual class uvm_tlm_if_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T1</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T2</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
This class declares all of the methods of the TLM API.</div></div><div class=CToolTip id="tt2369"><div class=CConstant>No bus operation.</div></div><div class=CToolTip id="tt2370"><div class=CConstant>Transaction was not delivered to target</div></div><div class=CToolTip id="tt2371"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_initiator_socket #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>IMP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_tlm_nb_initiator_socket_base #(T,P)</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward port; HAS-A backward imp</div></div><div class=CToolTip id="tt2372"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_initiator_socket_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward port; HAS-A backward imp</div></div><div class=CToolTip id="tt2373"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_passthrough_initiator_socket #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_tlm_nb_passthrough_initiator_socket_base #(T,P)</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward port; HAS-A backward export</div></div><div class=CToolTip id="tt2374"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_passthrough_initiator_socket_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward port; HAS-A backward export</div></div><div class=CToolTip id="tt2375"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_passthrough_target_socket #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_tlm_nb_passthrough_target_socket_base #(T,P)</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward export; HAS-A backward port</div></div><div class=CToolTip id="tt2376"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_passthrough_target_socket_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward export; HAS-A backward port</div></div><div class=CToolTip id="tt2377"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_target_socket #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>IMP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_tlm_nb_target_socket_base #(T,P)</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward imp; HAS-A backward port</div></div><div class=CToolTip id="tt2378"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_target_socket_base #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr>
</table></td></tr>
</table></blockquote>
IS-A forward imp; HAS-A backward port</div></div><div class=CToolTip id="tt2379"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_bw_export #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr>
</table></td></tr>
</table></blockquote>
Non-blocking backward transport export class</div></div><div class=CToolTip id="tt2380"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_bw_imp #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>IMP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr>
</table></td></tr>
</table></blockquote>
Used like exports, except an additional class parameter specifies the type of the implementation object. </div></div><div class=CToolTip id="tt2381"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_bw_port #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr>
</table></td></tr>
</table></blockquote>
Class providing the non-blocking backward transport port. </div></div><div class=CToolTip id="tt2382"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_fw_export #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr>
</table></td></tr>
</table></blockquote>
Non-blocking forward transport export class</div></div><div class=CToolTip id="tt2383"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_fw_imp #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>IMP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr>
</table></td></tr>
</table></blockquote>
Used like exports, except an additional class parameter specifies the type of the implementation object. </div></div><div class=CToolTip id="tt2384"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_nb_transport_fw_port #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>T</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_generic_payload,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>P</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_tlm_phase_e</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_port_base #(uvm_tlm_if #(T,P))</td></tr>
</table></td></tr>
</table></blockquote>
Class providing the non-blocking backward transport port. </div></div><div class=CToolTip id="tt2385"><div class=CConstant>Bus operation completed successfully</div></div><div class=CToolTip id="tt2386"><div class=CType>Nonblocking transport synchronization state values between an initiator and a target.</div></div><div class=CToolTip id="tt2387"><div class=CConstant>Bus read operation</div></div><div class=CToolTip id="tt2388"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_req_rsp_channel #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>REQ</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_component</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_tlm_req_rsp_channel contains a request FIFO of type <i>REQ</i> and a response FIFO of type <i>RSP</i>. </div></div><div class=CToolTip id="tt2389"><div class=CType>Response status attribute type definition</div></div><div class=CToolTip id="tt2390"><div class=CType>Pre-defined phase state values for the nonblocking transport Base Protocol between an initiator and a target.</div></div><div class=CToolTip id="tt2391"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_tlm_time
</td></tr></table></blockquote>Canonical time type that can be used in different timescales</div></div><div class=CToolTip id="tt2392"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_tlm_transport_channel #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>REQ</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>RSP</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>REQ</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_tlm_req_rsp_channel #(REQ, RSP)</td></tr>
</table></td></tr>
</table></blockquote>
A uvm_tlm_transport_channel is a uvm_tlm_req_rsp_channel #(REQ,RSP) that implements the transport interface. </div></div><div class=CToolTip id="tt2393"><div class=CConstant>Transaction has been modified</div></div><div class=CToolTip id="tt2394"><div class=CConstant>Bus write operation</div></div><div class=CToolTip id="tt2395"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>const uvm_root uvm_top = uvm_root::get()
</td></tr></table></blockquote>This is the top-level that governs phase execution and provides component search interface. </div></div><div class=CToolTip id="tt2396"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_top_down_visitor_adapter#(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>STRUCTURE</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_component,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>&nbsp;</td>
<td class=PParameter nowrap>VISITOR</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>uvm_visitor#(STRUCTURE)</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>) extends uvm_visitor_adapter#(STRUCTURE,VISITOR)</td></tr>
</table></td></tr>
</table></blockquote>
This uvm_top_down_visitor_adapter traverses the STRUCTURE <i>s</i> (and will invoke the visitor) in a hierarchical fashion. </div></div><div class=CToolTip id="tt2397"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_topdown_phase extends uvm_phase
</td></tr></table></blockquote>Virtual base class for function phases that operate top-down. </div></div><div class=CToolTip id="tt2398"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_tr_database extends uvm_object
</td></tr></table></blockquote>The <i>uvm_tr_database</i> class is intended to hide the underlying database implementation from the end user, as these details are often vendor or tool-specific.</div></div><div class=CToolTip id="tt2399"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_tr_stream extends uvm_object
</td></tr></table></blockquote>The <i>uvm_tr_stream</i> base class is a representation of a stream of records within a uvm_tr_database.</div></div><div class=CToolTip id="tt2400"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_transaction extends uvm_object
</td></tr></table></blockquote>The uvm_transaction class is the root base class for UVM transactions. </div></div><div class=CToolTip id="tt2401"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_tree_printer extends uvm_printer
</td></tr></table></blockquote>By overriding various methods of the uvm_printer super class, the tree printer prints output in a tree format.</div></div><div class=CToolTip id="tt2402"><div class=CConstant>Selects unformatted 2 value data (%u) format</div></div><div class=CToolTip id="tt2403"><div class=CConstant>Selects unformatted 4 value data (%z) format</div></div><div class=CToolTip id="tt2404"><div class=CConstant>Selects unsigned decimal (%u) format</div></div><div class=CToolTip id="tt2405"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>class uvm_utils #(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>type&nbsp;</td>
<td class=PParameter nowrap>TYPE</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>int,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>FIELD</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;config&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
This class contains useful template functions.</div></div><div class=CToolTip id="tt2406"><div class=CType>Defines standard verbosity levels for reports.</div></div><div class=CToolTip id="tt2407"><div class=CMacro>Indicates that the version of this release is &lsquo;1.2&rsquo;.</div></div><div class=CToolTip id="tt2408"><div class=CMacro>Provides a string-ized version of the UVM Library version number.</div></div><div class=CToolTip id="tt2409"><div class=CClass>The uvm_visitor class provides an abstract base class for a visitor. </div></div><div class=CToolTip id="tt2410"><div class=CClass>The visitor adaptor traverses all nodes of the STRUCTURE and will invoke visitor.visit() on every node.</div></div><div class=CToolTip id="tt2411"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual class uvm_void
</td></tr></table></blockquote>The <i>uvm_void</i> class is the base class for all UVM classes. </div></div><div class=CToolTip id="tt2412"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_vreg extends uvm_object
</td></tr></table></blockquote>Virtual register abstraction base class</div></div><div class=CToolTip id="tt2413"><div class=CType>Convenience callback type declaration</div></div><div class=CToolTip id="tt2414"><div class=CType>Convenience callback iterator type declaration</div></div><div class=CToolTip id="tt2415"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_vreg_cbs extends uvm_callback
</td></tr></table></blockquote>Pre/post read/write callback facade class</div></div><div class=CToolTip id="tt2416"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_vreg_field extends uvm_object
</td></tr></table></blockquote>Virtual field abstraction class</div></div><div class=CToolTip id="tt2417"><div class=CType>Convenience callback type declaration</div></div><div class=CToolTip id="tt2418"><div class=CType>Convenience callback iterator type declaration</div></div><div class=CToolTip id="tt2419"><div class=CClass>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>class uvm_vreg_field_cbs extends uvm_callback
</td></tr></table></blockquote>Pre/post read/write callback facade class</div></div><div class=CToolTip id="tt2420"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>task uvm_wait_for_nba_region
</td></tr></table></blockquote>Callers of this task will not return until the NBA region, thus allowing other processes any number of delta cycles (#0) to settle out before continuing. </div></div><div class=CToolTip id="tt2421"><div class=CType>Specifies the operand when using methods like uvm_phase::wait_for_state.</div></div><div class=CToolTip id="tt2422"><div class=CConstant>Indicates a potential problem.</div></div><div class=CToolTip id="tt2423"><div class=CConstant>Write operation</div></div><!--END_ND_TOOLTIPS-->
</div><!--Index-->
<script language=JavaScript><!--
if (browserType) {if (browserVer) {document.write("</div>"); }document.write("</div>");}// --></script></body></html>