blob: 578dfb46a8ae3a706f67ab7e30dbb61efc088e56 [file] [log] [blame]
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN" "http://www.w3.org/TR/REC-html40/loose.dtd">
<html><head><title>Index</title><link rel="stylesheet" type="text/css" href="../styles/main.css"><script language=JavaScript src="../javascript/main.js"></script></head><body class="FramedIndexPage" onLoad="NDOnLoad()"><script language=JavaScript><!--
if (browserType) {document.write("<div class=" + browserType + ">");if (browserVer) {document.write("<div class=" + browserVer + ">"); }}// --></script>
<!-- Generated by Natural Docs, version Development Release 01-12-2008 (1.35 base) -->
<!-- http://www.naturaldocs.org -->
<!-- saved from url=(0026)http://www.naturaldocs.org -->
<div id=Index><div class=IPageTitle>Index</div><div class=INavigationBar><a href="General.html#Symbols">$#!</a> &middot; <a href="General.html#Numbers">0-9</a> &middot; <a href="General.html#A">A</a> &middot; <a href="General.html#B">B</a> &middot; <a href="General2.html#C">C</a> &middot; <a href="General2.html#D">D</a> &middot; <a href="General2.html#E">E</a> &middot; <a href="General3.html#F">F</a> &middot; <a href="General4.html#G">G</a> &middot; <a href="#H">H</a> &middot; <a href="#I">I</a> &middot; <a href="#J">J</a> &middot; <a href="#K">K</a> &middot; <a href="#L">L</a> &middot; <a href="#M">M</a> &middot; <a href="General6.html#N">N</a> &middot; <a href="General6.html#O">O</a> &middot; <a href="General7.html#P">P</a> &middot; <a href="General7.html#Q">Q</a> &middot; <a href="General8.html#R">R</a> &middot; <a href="General9.html#S">S</a> &middot; <a href="General10.html#T">T</a> &middot; <a href="General11.html#U">U</a> &middot; <a href="General12.html#V">V</a> &middot; <a href="General12.html#W">W</a> &middot; X &middot; Y &middot; Z</div><table border=0 cellspacing=0 cellpadding=0>
<tr><td class=IHeading id=IFirstHeading><a name="H"></a>H</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Handles</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.Handles" class=IParent>uvm_recorder</a><a href="../files/base/uvm_tr_stream-svh.html#uvm_tr_stream.Handles" class=IParent>uvm_tr_stream</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>has_child</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.has_child" id=link941 onMouseOver="ShowTip(event, 'tt940', 'link941')" onMouseOut="HideTip('tt940')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>has_coverage</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.has_coverage" id=link942 onMouseOver="ShowTip(event, 'tt941', 'link942')" onMouseOut="HideTip('tt941')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.has_coverage" id=link943 onMouseOver="ShowTip(event, 'tt942', 'link943')" onMouseOut="HideTip('tt942')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.has_coverage" id=link944 onMouseOver="ShowTip(event, 'tt943', 'link944')" onMouseOut="HideTip('tt943')" class=IParent>uvm_reg_block</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>has_do_available</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer-svh.html#uvm_sequencer#(REQ,RSP).has_do_available" id=link945 onMouseOver="ShowTip(event, 'tt944', 'link945')" onMouseOut="HideTip('tt944')" class=IParent>uvm_sequencer#(REQ,RSP)</a><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.has_do_available" id=link946 onMouseOver="ShowTip(event, 'tt945', 'link946')" onMouseOut="HideTip('tt945')" class=IParent>uvm_sequencer_base</a><a href="../files/tlm1/uvm_sqr_ifs-svh.html#uvm_sqr_if_base#(REQ,RSP).has_do_available" id=link947 onMouseOver="ShowTip(event, 'tt946', 'link947')" onMouseOut="HideTip('tt946')" class=IParent>uvm_sqr_if_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>has_hdl_path</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.has_hdl_path" id=link948 onMouseOver="ShowTip(event, 'tt947', 'link948')" onMouseOut="HideTip('tt947')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.has_hdl_path" id=link949 onMouseOver="ShowTip(event, 'tt948', 'link949')" onMouseOut="HideTip('tt948')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.has_hdl_path" id=link950 onMouseOver="ShowTip(event, 'tt949', 'link950')" onMouseOut="HideTip('tt949')" class=IParent>uvm_reg_block</a><a href="../files/reg/uvm_reg_file-svh.html#uvm_reg_file.has_hdl_path" id=link951 onMouseOver="ShowTip(event, 'tt950', 'link951')" onMouseOut="HideTip('tt950')" class=IParent>uvm_reg_file</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>has_lock</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.has_lock" id=link952 onMouseOver="ShowTip(event, 'tt951', 'link952')" onMouseOut="HideTip('tt951')" class=IParent>uvm_sequence_base</a><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.has_lock" id=link953 onMouseOver="ShowTip(event, 'tt952', 'link953')" onMouseOut="HideTip('tt952')" class=IParent>uvm_sequencer_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>has_reset</span><div class=ISubIndex><a href="../files/reg/uvm_reg-svh.html#uvm_reg.has_reset" id=link954 onMouseOver="ShowTip(event, 'tt953', 'link954')" onMouseOut="HideTip('tt953')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.has_reset" id=link955 onMouseOver="ShowTip(event, 'tt954', 'link955')" onMouseOut="HideTip('tt954')" class=IParent>uvm_reg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>HDL Access</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.HDL_Access" class=IParent>uvm_mem</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.HDL_Access" class=IParent>uvm_vreg</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field.HDL_Access" class=IParent>uvm_vreg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_mem_hdl_paths_seq-svh.html#HDL_Paths_Checking_Test_Sequence" class=ISymbol>HDL Paths Checking Test Sequence</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>header</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.header" id=link956 onMouseOver="ShowTip(event, 'tt955', 'link956')" onMouseOut="HideTip('tt955')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>hex_radix</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.hex_radix" id=link957 onMouseOver="ShowTip(event, 'tt956', 'link957')" onMouseOut="HideTip('tt956')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Hierarchical Reporting Interface</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.Hierarchical_Reporting_Interface" id=link958 onMouseOver="ShowTip(event, 'tt957', 'link958')" onMouseOut="HideTip('tt957')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Hierarchy Interface</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.Hierarchy_Interface" id=link959 onMouseOver="ShowTip(event, 'tt958', 'link959')" onMouseOut="HideTip('tt958')" class=IParent>uvm_component</a></div></td></tr>
<tr><td class=IHeading><a name="I"></a>I</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>ID</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_extension.ID" id=link960 onMouseOver="ShowTip(event, 'tt959', 'link960')" onMouseOut="HideTip('tt959')" class=IParent>uvm_tlm_extension</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>id Count</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.id_Count" class=IParent>uvm_default_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Identification</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.Identification" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>identifier</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.identifier" id=link961 onMouseOver="ShowTip(event, 'tt960', 'link961')" onMouseOut="HideTip('tt960')" class=IParent>uvm_printer_knobs</a><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.identifier" id=link962 onMouseOver="ShowTip(event, 'tt961', 'link962')" onMouseOut="HideTip('tt961')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_imps-svh.html#IMP_binding_classes" class=ISymbol>IMP binding classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_imps-svh.html#IMP_binding_macros" class=ISymbol>IMP binding macros</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>implement</span><div class=ISubIndex><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.implement" id=link963 onMouseOver="ShowTip(event, 'tt962', 'link963')" onMouseOut="HideTip('tt962')" class=IParent>uvm_vreg</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Implementation Agnostic API</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.Implementation_Agnostic_API" class=IParent>uvm_recorder</a><a href="../files/base/uvm_recorder-svh.html#uvm_text_recorder.Implementation_Agnostic_API" class=IParent>uvm_text_recorder</a><a href="../files/base/uvm_tr_database-svh.html#uvm_text_tr_database.Implementation_Agnostic_API" class=IParent>uvm_text_tr_database</a><a href="../files/base/uvm_tr_stream-svh.html#uvm_text_tr_stream.Implementation_Agnostic_API" class=IParent>uvm_text_tr_stream</a><a href="../files/base/uvm_tr_database-svh.html#uvm_tr_database.Implementation_Agnostic_API" class=IParent>uvm_tr_database</a><a href="../files/base/uvm_tr_stream-svh.html#uvm_tr_stream.Implementation_Agnostic_API" class=IParent>uvm_tr_stream</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Implementation Callbacks</span><div class=ISubIndex><a href="../files/base/uvm_links-svh.html#uvm_cause_effect_link.Implementation_Callbacks" class=IParent>uvm_cause_effect_link</a><a href="../files/base/uvm_links-svh.html#uvm_link_base.Implementation_Callbacks" class=IParent>uvm_link_base</a><a href="../files/base/uvm_links-svh.html#uvm_parent_child_link.Implementation_Callbacks" class=IParent>uvm_parent_child_link</a><a href="../files/base/uvm_links-svh.html#uvm_related_link.Implementation_Callbacks" class=IParent>uvm_related_link</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Implementation Specific API</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_text_recorder.Implementation_Specific_API" class=IParent>uvm_text_recorder</a><a href="../files/base/uvm_tr_database-svh.html#uvm_text_tr_database.Implementation_Specific_API" class=IParent>uvm_text_tr_database</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>in_use</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_policy.in_use" id=link964 onMouseOver="ShowTip(event, 'tt963', 'link964')" onMouseOut="HideTip('tt963')" class=IParent>uvm_mem_mam_policy</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>include_coverage</span><div class=ISubIndex><a href="../files/reg/uvm_reg-svh.html#uvm_reg.include_coverage" id=link965 onMouseOver="ShowTip(event, 'tt964', 'link965')" onMouseOut="HideTip('tt964')" class=IParent>uvm_reg</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>incr</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_time-svh.html#uvm_tlm_time.incr" id=link966 onMouseOver="ShowTip(event, 'tt965', 'link966')" onMouseOut="HideTip('tt965')" class=IParent>uvm_tlm_time</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>incr_id_count</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.incr_id_count" id=link967 onMouseOver="ShowTip(event, 'tt966', 'link967')" onMouseOut="HideTip('tt966')" class=IParent>uvm_default_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>incr_quit_count</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.incr_quit_count" id=link968 onMouseOver="ShowTip(event, 'tt967', 'link968')" onMouseOut="HideTip('tt967')" class=IParent>uvm_default_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>incr_severity_count</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.incr_severity_count" id=link969 onMouseOver="ShowTip(event, 'tt968', 'link969')" onMouseOut="HideTip('tt968')" class=IParent>uvm_default_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>indent</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.indent" id=link970 onMouseOver="ShowTip(event, 'tt969', 'link970')" onMouseOut="HideTip('tt969')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Infrastructure References</span><div class=ISubIndex><a href="../files/base/uvm_report_message-svh.html#uvm_report_message.Infrastructure_References" class=IParent>uvm_report_message</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>init_access_record</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.init_access_record" id=link971 onMouseOver="ShowTip(event, 'tt970', 'link971')" onMouseOut="HideTip('tt970')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>init_sequence_library</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_library-svh.html#uvm_sequence_library.init_sequence_library" id=link972 onMouseOver="ShowTip(event, 'tt971', 'link972')" onMouseOut="HideTip('tt971')" class=IParent>uvm_sequence_library</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>initialization</span><div class=ISubIndex><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field.initialization" class=IParent>uvm_vreg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Initialization</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.Initialization" class=IParent>uvm_mem</a><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam.Initialization" class=IParent>uvm_mem_mam</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.Initialization" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.Initialization" class=IParent>uvm_reg_block</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.Initialization" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.Initialization" class=IParent>uvm_reg_fifo</a><a href="../files/reg/uvm_reg_file-svh.html#uvm_reg_file.Initialization" class=IParent>uvm_reg_file</a><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_map.Initialization" class=IParent>uvm_reg_map</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.Initialization" class=IParent>uvm_vreg</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>insert</span><div class=ISubIndex><a href="../files/base/uvm_queue-svh.html#uvm_queue#(T).insert" id=link973 onMouseOver="ShowTip(event, 'tt972', 'link973')" onMouseOut="HideTip('tt972')" class=IParent>uvm_queue#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/tlm2/uvm_tlm2_defines-svh.html#Interface_Masks" id=link974 onMouseOver="ShowTip(event, 'tt973', 'link974')" onMouseOut="HideTip('tt973')" class=ISymbol>Interface Masks</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Intro</span><div class=ISubIndex><a href="../files/base/uvm_config_db-svh.html#Intro" id=link975 onMouseOver="ShowTip(event, 'tt974', 'link975')" onMouseOut="HideTip('tt974')" class=IFile>base/<span class=HB> </span>uvm_config_db.svh</a><a href="../files/base/uvm_registry-svh.html#Intro" id=link976 onMouseOver="ShowTip(event, 'tt974', 'link976')" onMouseOut="HideTip('tt974')" class=IFile>base/<span class=HB> </span>uvm_registry.svh</a><a href="../files/base/uvm_resource-svh.html#Intro" id=link977 onMouseOver="ShowTip(event, 'tt974', 'link977')" onMouseOut="HideTip('tt974')" class=IFile>base/<span class=HB> </span>uvm_resource.svh</a><a href="../files/base/uvm_resource_db-svh.html#Intro" id=link978 onMouseOver="ShowTip(event, 'tt974', 'link978')" onMouseOut="HideTip('tt974')" class=IFile>base/<span class=HB> </span>uvm_resource_db.svh</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Introspection</span><div class=ISubIndex><a href="../files/dap/uvm_get_to_lock_dap-svh.html#uvm_get_to_lock_dap.Introspection" id=link979 onMouseOver="ShowTip(event, 'tt975', 'link979')" onMouseOut="HideTip('tt975')" class=IParent>uvm_get_to_lock_dap</a><a href="../files/reg/uvm_mem-svh.html#uvm_mem.Introspection" class=IParent>uvm_mem</a><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam.Introspection" class=IParent>uvm_mem_mam</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.Introspection" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.Introspection" class=IParent>uvm_reg_block</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.Introspection" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.Introspection" class=IParent>uvm_reg_fifo</a><a href="../files/reg/uvm_reg_file-svh.html#uvm_reg_file.Introspection" class=IParent>uvm_reg_file</a><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_map.Introspection" class=IParent>uvm_reg_map</a><a href="../files/dap/uvm_set_before_get_dap-svh.html#uvm_set_before_get_dap.Introspection" id=link980 onMouseOver="ShowTip(event, 'tt976', 'link980')" onMouseOut="HideTip('tt976')" class=IParent>uvm_set_before_get_dap</a><a href="../files/dap/uvm_simple_lock_dap-svh.html#uvm_simple_lock_dap.Introspection" id=link981 onMouseOver="ShowTip(event, 'tt977', 'link981')" onMouseOut="HideTip('tt977')" class=IParent>uvm_simple_lock_dap</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.Introspection" class=IParent>uvm_vreg</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field.Introspection" class=IParent>uvm_vreg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase.is" id=link982 onMouseOver="ShowTip(event, 'tt978', 'link982')" onMouseOut="HideTip('tt978')" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_active</span><div class=ISubIndex><a href="../files/base/uvm_transaction-svh.html#uvm_transaction.is_active" id=link983 onMouseOver="ShowTip(event, 'tt979', 'link983')" onMouseOut="HideTip('tt979')" class=IParent>uvm_transaction</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_after</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase.is_after" id=link984 onMouseOver="ShowTip(event, 'tt980', 'link984')" onMouseOut="HideTip('tt980')" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_auditing</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_options.is_auditing" id=link985 onMouseOver="ShowTip(event, 'tt981', 'link985')" onMouseOut="HideTip('tt981')" class=IParent>uvm_resource_options</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_auto_item_recording_enabled</span><div class=ISubIndex><a href="../files/tlm1/uvm_sqr_ifs-svh.html#uvm_sqr_if_base#(REQ,RSP).is_auto_item_recording_enabled" id=link986 onMouseOver="ShowTip(event, 'tt982', 'link986')" onMouseOut="HideTip('tt982')" class=IParent>uvm_sqr_if_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_auto_updated</span><div class=ISubIndex><a href="../files/reg/uvm_reg_backdoor-svh.html#uvm_reg_backdoor.is_auto_updated" id=link987 onMouseOver="ShowTip(event, 'tt983', 'link987')" onMouseOut="HideTip('tt983')" class=IParent>uvm_reg_backdoor</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_before</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase.is_before" id=link988 onMouseOver="ShowTip(event, 'tt984', 'link988')" onMouseOut="HideTip('tt984')" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_blocked</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.is_blocked" id=link989 onMouseOver="ShowTip(event, 'tt985', 'link989')" onMouseOut="HideTip('tt985')" class=IParent>uvm_sequence_base</a><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.is_blocked" id=link990 onMouseOver="ShowTip(event, 'tt986', 'link990')" onMouseOut="HideTip('tt986')" class=IParent>uvm_sequencer_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_busy</span><div class=ISubIndex><a href="../files/reg/uvm_reg-svh.html#uvm_reg.is_busy" id=link991 onMouseOver="ShowTip(event, 'tt987', 'link991')" onMouseOut="HideTip('tt987')" class=IParent>uvm_reg</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_child</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.is_child" id=link992 onMouseOver="ShowTip(event, 'tt988', 'link992')" onMouseOut="HideTip('tt988')" class=IParent>uvm_sequencer_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_closed</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.is_closed" id=link993 onMouseOver="ShowTip(event, 'tt989', 'link993')" onMouseOut="HideTip('tt989')" class=IParent>uvm_recorder</a><a href="../files/base/uvm_tr_stream-svh.html#uvm_tr_stream.is_closed" id=link994 onMouseOver="ShowTip(event, 'tt990', 'link994')" onMouseOut="HideTip('tt990')" class=IParent>uvm_tr_stream</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_dmi_allowed</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.is_dmi_allowed" id=link995 onMouseOver="ShowTip(event, 'tt991', 'link995')" onMouseOut="HideTip('tt991')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_empty</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_fifo#(T).is_empty" id=link996 onMouseOver="ShowTip(event, 'tt992', 'link996')" onMouseOut="HideTip('tt992')" class=IParent>uvm_tlm_fifo#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_enabled</span><div class=ISubIndex><a href="../files/base/uvm_callback-svh.html#uvm_callback.is_enabled" id=link997 onMouseOver="ShowTip(event, 'tt993', 'link997')" onMouseOut="HideTip('tt993')" class=IParent>uvm_callback</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_export</span><div class=ISubIndex><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF).is_export" id=link998 onMouseOver="ShowTip(event, 'tt994', 'link998')" onMouseOut="HideTip('tt994')" class=IParent>uvm_port_base#(IF)</a><a href="../files/base/uvm_port_base-svh.html#uvm_port_component_base.is_export" id=link999 onMouseOver="ShowTip(event, 'tt995', 'link999')" onMouseOut="HideTip('tt995')" class=IParent>uvm_port_component_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_full</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_fifo#(T).is_full" id=link1000 onMouseOver="ShowTip(event, 'tt996', 'link1000')" onMouseOut="HideTip('tt996')" class=IParent>uvm_tlm_fifo#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_grabbed</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.is_grabbed" id=link1001 onMouseOver="ShowTip(event, 'tt997', 'link1001')" onMouseOut="HideTip('tt997')" class=IParent>uvm_sequencer_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_hdl_path_root</span><div class=ISubIndex><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.is_hdl_path_root" id=link1002 onMouseOver="ShowTip(event, 'tt998', 'link1002')" onMouseOut="HideTip('tt998')" class=IParent>uvm_reg_block</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_imp</span><div class=ISubIndex><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF).is_imp" id=link1003 onMouseOver="ShowTip(event, 'tt999', 'link1003')" onMouseOut="HideTip('tt999')" class=IParent>uvm_port_base#(IF)</a><a href="../files/base/uvm_port_base-svh.html#uvm_port_component_base.is_imp" id=link1004 onMouseOver="ShowTip(event, 'tt1000', 'link1004')" onMouseOut="HideTip('tt1000')" class=IParent>uvm_port_component_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_in_map</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.is_in_map" id=link1005 onMouseOver="ShowTip(event, 'tt1001', 'link1005')" onMouseOut="HideTip('tt1001')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.is_in_map" id=link1006 onMouseOver="ShowTip(event, 'tt1002', 'link1006')" onMouseOut="HideTip('tt1002')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.is_in_map" id=link1007 onMouseOver="ShowTip(event, 'tt1003', 'link1007')" onMouseOut="HideTip('tt1003')" class=IParent>uvm_vreg</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_indv_accessible</span><div class=ISubIndex><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.is_indv_accessible" id=link1008 onMouseOver="ShowTip(event, 'tt1004', 'link1008')" onMouseOut="HideTip('tt1004')" class=IParent>uvm_reg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_item</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.is_item" id=link1009 onMouseOver="ShowTip(event, 'tt1005', 'link1009')" onMouseOut="HideTip('tt1005')" class=IParent>uvm_sequence_base</a><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.is_item" id=link1010 onMouseOver="ShowTip(event, 'tt1006', 'link1010')" onMouseOut="HideTip('tt1006')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_known_access</span><div class=ISubIndex><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.is_known_access" id=link1011 onMouseOver="ShowTip(event, 'tt1007', 'link1011')" onMouseOut="HideTip('tt1007')" class=IParent>uvm_reg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_locked</span><div class=ISubIndex><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.is_locked" id=link1012 onMouseOver="ShowTip(event, 'tt1008', 'link1012')" onMouseOut="HideTip('tt1008')" class=IParent>uvm_reg_block</a><a href="../files/dap/uvm_simple_lock_dap-svh.html#uvm_simple_lock_dap.is_locked" id=link1013 onMouseOver="ShowTip(event, 'tt1009', 'link1013')" onMouseOut="HideTip('tt1009')" class=IParent>uvm_simple_lock_dap</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_null</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.is_null" id=link1014 onMouseOver="ShowTip(event, 'tt1010', 'link1014')" onMouseOut="HideTip('tt1010')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_off</span><div class=ISubIndex><a href="../files/base/uvm_event-svh.html#uvm_event_base.is_off" id=link1015 onMouseOver="ShowTip(event, 'tt1011', 'link1015')" onMouseOut="HideTip('tt1011')" class=IParent>uvm_event_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_on</span><div class=ISubIndex><a href="../files/base/uvm_event-svh.html#uvm_event_base.is_on" id=link1016 onMouseOver="ShowTip(event, 'tt1012', 'link1016')" onMouseOut="HideTip('tt1012')" class=IParent>uvm_event_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_open</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.is_open" id=link1017 onMouseOver="ShowTip(event, 'tt1013', 'link1017')" onMouseOut="HideTip('tt1013')" class=IParent>uvm_recorder</a><a href="../files/base/uvm_tr_database-svh.html#uvm_tr_database.is_open" id=link1018 onMouseOver="ShowTip(event, 'tt1014', 'link1018')" onMouseOut="HideTip('tt1014')" class=IParent>uvm_tr_database</a><a href="../files/base/uvm_tr_stream-svh.html#uvm_tr_stream.is_open" id=link1019 onMouseOver="ShowTip(event, 'tt1015', 'link1019')" onMouseOut="HideTip('tt1015')" class=IParent>uvm_tr_stream</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_port</span><div class=ISubIndex><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF).is_port" id=link1020 onMouseOver="ShowTip(event, 'tt1016', 'link1020')" onMouseOut="HideTip('tt1016')" class=IParent>uvm_port_base#(IF)</a><a href="../files/base/uvm_port_base-svh.html#uvm_port_component_base.is_port" id=link1021 onMouseOver="ShowTip(event, 'tt1017', 'link1021')" onMouseOut="HideTip('tt1017')" class=IParent>uvm_port_component_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_quit_count_reached</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.is_quit_count_reached" id=link1022 onMouseOver="ShowTip(event, 'tt1018', 'link1022')" onMouseOut="HideTip('tt1018')" class=IParent>uvm_default_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_read</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.is_read" id=link1023 onMouseOver="ShowTip(event, 'tt1019', 'link1023')" onMouseOut="HideTip('tt1019')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_read_only</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.is_read_only" id=link1024 onMouseOver="ShowTip(event, 'tt1020', 'link1024')" onMouseOut="HideTip('tt1020')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_recording_enabled</span><div class=ISubIndex><a href="../files/base/uvm_transaction-svh.html#uvm_transaction.is_recording_enabled" id=link1025 onMouseOver="ShowTip(event, 'tt1021', 'link1025')" onMouseOut="HideTip('tt1021')" class=IParent>uvm_transaction</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_relevant</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.is_relevant" id=link1026 onMouseOver="ShowTip(event, 'tt1022', 'link1026')" onMouseOut="HideTip('tt1022')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_response_error</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.is_response_error" id=link1027 onMouseOver="ShowTip(event, 'tt1023', 'link1027')" onMouseOut="HideTip('tt1023')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_response_ok</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.is_response_ok" id=link1028 onMouseOver="ShowTip(event, 'tt1024', 'link1028')" onMouseOut="HideTip('tt1024')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_tracing</span><div class=ISubIndex><a href="../files/base/uvm_config_db-svh.html#uvm_config_db_options.is_tracing" id=link1029 onMouseOver="ShowTip(event, 'tt1025', 'link1029')" onMouseOut="HideTip('tt1025')" class=IParent>uvm_config_db_options</a><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db_options.is_tracing" id=link1030 onMouseOver="ShowTip(event, 'tt1026', 'link1030')" onMouseOut="HideTip('tt1026')" class=IParent>uvm_resource_db_options</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_unbounded</span><div class=ISubIndex><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF).is_unbounded" id=link1031 onMouseOver="ShowTip(event, 'tt1027', 'link1031')" onMouseOut="HideTip('tt1027')" class=IParent>uvm_port_base#(IF)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_volatile</span><div class=ISubIndex><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.is_volatile" id=link1032 onMouseOver="ShowTip(event, 'tt1028', 'link1032')" onMouseOut="HideTip('tt1028')" class=IParent>uvm_reg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>is_write</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.is_write" id=link1033 onMouseOver="ShowTip(event, 'tt1029', 'link1033')" onMouseOut="HideTip('tt1029')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>issue</span><div class=ISubIndex><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.issue" id=link1034 onMouseOver="ShowTip(event, 'tt1030', 'link1034')" onMouseOut="HideTip('tt1030')" class=IParent>uvm_report_catcher</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>item_done</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer-svh.html#uvm_sequencer#(REQ,RSP).item_done" id=link1035 onMouseOver="ShowTip(event, 'tt1031', 'link1035')" onMouseOut="HideTip('tt1031')" class=IParent>uvm_sequencer#(REQ,RSP)</a><a href="../files/tlm1/uvm_sqr_ifs-svh.html#uvm_sqr_if_base#(REQ,RSP).item_done" id=link1036 onMouseOver="ShowTip(event, 'tt1032', 'link1036')" onMouseOut="HideTip('tt1032')" class=IParent>uvm_sqr_if_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Iterator Interface</span><div class=ISubIndex><a href="../files/base/uvm_callback-svh.html#uvm_callbacks#(T,CB).Iterator_Interface" id=link1037 onMouseOver="ShowTip(event, 'tt1033', 'link1037')" onMouseOut="HideTip('tt1033')" class=IParent>uvm_callbacks#(T,CB)</a></div></td></tr>
<tr><td class=IHeading><a name="J"></a>J</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>jump</span><div class=ISubIndex><a href="../files/base/uvm_domain-svh.html#uvm_domain.jump" id=link1038 onMouseOver="ShowTip(event, 'tt1034', 'link1038')" onMouseOut="HideTip('tt1034')" class=IParent>uvm_domain</a><a href="../files/base/uvm_phase-svh.html#uvm_phase.jump" id=link1039 onMouseOver="ShowTip(event, 'tt1035', 'link1039')" onMouseOut="HideTip('tt1035')" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>jump_to</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase_state_change.jump_to" id=link1040 onMouseOver="ShowTip(event, 'tt1036', 'link1040')" onMouseOut="HideTip('tt1036')" class=IParent>uvm_phase_state_change</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Jumping</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase.Jumping" class=IParent>uvm_phase</a></div></td></tr>
<tr><td class=IHeading><a name="K"></a>K</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>kill</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.kill" id=link1041 onMouseOver="ShowTip(event, 'tt1037', 'link1041')" onMouseOut="HideTip('tt1037')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>kind</span><div class=ISubIndex><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_bus_op.kind" id=link1042 onMouseOver="ShowTip(event, 'tt1038', 'link1042')" onMouseOut="HideTip('tt1038')" class=IParent>uvm_reg_bus_op</a><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item.kind" id=link1043 onMouseOver="ShowTip(event, 'tt1039', 'link1043')" onMouseOut="HideTip('tt1039')" class=IParent>uvm_reg_item</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>knobs</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.knobs" id=link1044 onMouseOver="ShowTip(event, 'tt1040', 'link1044')" onMouseOut="HideTip('tt1040')" class=IParent>uvm_printer</a></div></td></tr>
<tr><td class=IHeading><a name="L"></a>L</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>last</span><div class=ISubIndex><a href="../files/base/uvm_callback-svh.html#uvm_callback_iter.last" id=link1045 onMouseOver="ShowTip(event, 'tt1041', 'link1045')" onMouseOut="HideTip('tt1041')" class=IParent>uvm_callback_iter</a><a href="../files/base/uvm_pool-svh.html#uvm_pool#(KEY,T).last" id=link1046 onMouseOver="ShowTip(event, 'tt1042', 'link1046')" onMouseOut="HideTip('tt1042')" class=IParent>uvm_pool#(KEY,T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>last_req</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP).last_req" id=link1047 onMouseOver="ShowTip(event, 'tt1043', 'link1047')" onMouseOut="HideTip('tt1043')" class=IParent>uvm_sequencer_param_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>last_rsp</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP).last_rsp" id=link1048 onMouseOver="ShowTip(event, 'tt1044', 'link1048')" onMouseOut="HideTip('tt1044')" class=IParent>uvm_sequencer_param_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>len</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_policy.len" id=link1049 onMouseOver="ShowTip(event, 'tt1045', 'link1049')" onMouseOut="HideTip('tt1045')" class=IParent>uvm_mem_mam_policy</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>lineno</span><div class=ISubIndex><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item.lineno" id=link1050 onMouseOver="ShowTip(event, 'tt1046', 'link1050')" onMouseOut="HideTip('tt1046')" class=IParent>uvm_reg_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Link API</span><div class=ISubIndex><a href="../files/base/uvm_tr_database-svh.html#uvm_tr_database.Link_API" class=IParent>uvm_tr_database</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>local_map</span><div class=ISubIndex><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item.local_map" id=link1051 onMouseOver="ShowTip(event, 'tt1047', 'link1051')" onMouseOut="HideTip('tt1047')" class=IParent>uvm_reg_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>locality</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_cfg.locality" id=link1052 onMouseOver="ShowTip(event, 'tt1048', 'link1052')" onMouseOut="HideTip('tt1048')" class=IParent>uvm_mem_mam_cfg</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>locality_e</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam.locality_e" id=link1053 onMouseOver="ShowTip(event, 'tt1049', 'link1053')" onMouseOut="HideTip('tt1049')" class=IParent>uvm_mem_mam</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>lock</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.lock" id=link1054 onMouseOver="ShowTip(event, 'tt1050', 'link1054')" onMouseOut="HideTip('tt1050')" class=IParent>uvm_sequence_base</a><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.lock" id=link1055 onMouseOver="ShowTip(event, 'tt1051', 'link1055')" onMouseOut="HideTip('tt1051')" class=IParent>uvm_sequencer_base</a><a href="../files/dap/uvm_simple_lock_dap-svh.html#uvm_simple_lock_dap.lock" id=link1056 onMouseOver="ShowTip(event, 'tt1052', 'link1056')" onMouseOut="HideTip('tt1052')" class=IParent>uvm_simple_lock_dap</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>lock_model</span><div class=ISubIndex><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.lock_model" id=link1057 onMouseOver="ShowTip(event, 'tt1053', 'link1057')" onMouseOut="HideTip('tt1053')" class=IParent>uvm_reg_block</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Locking</span><div class=ISubIndex><a href="../files/dap/uvm_simple_lock_dap-svh.html#uvm_simple_lock_dap.Locking" class=IParent>uvm_simple_lock_dap</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>lookup</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.lookup" id=link1058 onMouseOver="ShowTip(event, 'tt1054', 'link1058')" onMouseOut="HideTip('tt1054')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Lookup</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.Lookup" id=link1059 onMouseOver="ShowTip(event, 'tt1055', 'link1059')" onMouseOut="HideTip('tt1055')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>lookup_name</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.lookup_name" id=link1060 onMouseOver="ShowTip(event, 'tt1056', 'link1060')" onMouseOut="HideTip('tt1056')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>lookup_regex</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.lookup_regex" id=link1061 onMouseOver="ShowTip(event, 'tt1057', 'link1061')" onMouseOut="HideTip('tt1057')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>lookup_regex_names</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.lookup_regex_names" id=link1062 onMouseOver="ShowTip(event, 'tt1058', 'link1062')" onMouseOut="HideTip('tt1058')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>lookup_scope</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.lookup_scope" id=link1063 onMouseOver="ShowTip(event, 'tt1059', 'link1063')" onMouseOut="HideTip('tt1059')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>lookup_type</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.lookup_type" id=link1064 onMouseOver="ShowTip(event, 'tt1060', 'link1064')" onMouseOut="HideTip('tt1060')" class=IParent>uvm_resource_pool</a></div></td></tr>
<tr><td class=IHeading><a name="M"></a>M</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>m_address</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.m_address" id=link1065 onMouseOver="ShowTip(event, 'tt1061', 'link1065')" onMouseOut="HideTip('tt1061')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>m_byte_enable</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.m_byte_enable" id=link1066 onMouseOver="ShowTip(event, 'tt1062', 'link1066')" onMouseOut="HideTip('tt1062')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>m_byte_enable_length</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.m_byte_enable_length" id=link1067 onMouseOver="ShowTip(event, 'tt1063', 'link1067')" onMouseOut="HideTip('tt1063')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>m_command</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.m_command" id=link1068 onMouseOver="ShowTip(event, 'tt1064', 'link1068')" onMouseOut="HideTip('tt1064')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>m_data</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.m_data" id=link1069 onMouseOver="ShowTip(event, 'tt1065', 'link1069')" onMouseOut="HideTip('tt1065')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>m_dmi</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.m_dmi" id=link1070 onMouseOver="ShowTip(event, 'tt1066', 'link1070')" onMouseOut="HideTip('tt1066')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>m_length</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.m_length" id=link1071 onMouseOver="ShowTip(event, 'tt1067', 'link1071')" onMouseOut="HideTip('tt1067')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>m_response_status</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.m_response_status" id=link1072 onMouseOver="ShowTip(event, 'tt1068', 'link1072')" onMouseOut="HideTip('tt1068')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>m_streaming_width</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.m_streaming_width" id=link1073 onMouseOver="ShowTip(event, 'tt1069', 'link1073')" onMouseOut="HideTip('tt1069')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Macros</span><div class=ISubIndex><a href="../files/macros/uvm_callback_defines-svh.html#Macros" class=IFile>macros/<span class=HB> </span>uvm_callback_defines.svh</a><a href="../files/macros/uvm_reg_defines-svh.html#Macros" class=IFile>macros/<span class=HB> </span>uvm_reg_defines.svh</a><a href="../files/macros/uvm_tlm_defines-svh.html#Macros" class=IFile>macros/<span class=HB> </span>uvm_tlm_defines.svh</a><a href="../files/tlm2/uvm_tlm2_defines-svh.html#Macros" class=IFile>tlm2/<span class=HB> </span>uvm_tlm2_defines.svh</a><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#Macros" class=IFile>tlm2/<span class=HB> </span>uvm_tlm2_ifs.svh</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/macros-txt.html#Macros_and_Defines" id=link1074 onMouseOver="ShowTip(event, 'tt1070', 'link1074')" onMouseOut="HideTip('tt1070')" class=ISymbol>Macros and Defines</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>main_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.main_phase" id=link1075 onMouseOver="ShowTip(event, 'tt1071', 'link1075')" onMouseOut="HideTip('tt1071')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>mam</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.mam" id=link1076 onMouseOver="ShowTip(event, 'tt1072', 'link1076')" onMouseOut="HideTip('tt1072')" class=IParent>uvm_mem</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_3407(+)" id=link1077 onMouseOver="ShowTip(event, 'tt1073', 'link1077')" onMouseOut="HideTip('tt1073')" class=ISymbol>Mantis 3407(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_3472(*)" id=link1078 onMouseOver="ShowTip(event, 'tt1074', 'link1078')" onMouseOut="HideTip('tt1074')" class=ISymbol>Mantis 3472(*)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_3557" id=link1079 onMouseOver="ShowTip(event, 'tt1075', 'link1079')" onMouseOut="HideTip('tt1075')" class=ISymbol>Mantis 3557</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_3586(+)" id=link1080 onMouseOver="ShowTip(event, 'tt1076', 'link1080')" onMouseOut="HideTip('tt1076')" class=ISymbol>Mantis 3586(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_3693(+)" id=link1081 onMouseOver="ShowTip(event, 'tt1077', 'link1081')" onMouseOut="HideTip('tt1077')" class=ISymbol>Mantis 3693(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_3741(+)" id=link1082 onMouseOver="ShowTip(event, 'tt1078', 'link1082')" onMouseOut="HideTip('tt1078')" class=ISymbol>Mantis 3741(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_3783" id=link1083 onMouseOver="ShowTip(event, 'tt1079', 'link1083')" onMouseOut="HideTip('tt1079')" class=ISymbol>Mantis 3783</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_3887(*)" id=link1084 onMouseOver="ShowTip(event, 'tt1080', 'link1084')" onMouseOut="HideTip('tt1080')" class=ISymbol>Mantis 3887(*)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_3893(+)" id=link1085 onMouseOver="ShowTip(event, 'tt1081', 'link1085')" onMouseOut="HideTip('tt1081')" class=ISymbol>Mantis 3893(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_3901" id=link1086 onMouseOver="ShowTip(event, 'tt1082', 'link1086')" onMouseOut="HideTip('tt1082')" class=ISymbol>Mantis 3901</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4032(+)" id=link1087 onMouseOver="ShowTip(event, 'tt1083', 'link1087')" onMouseOut="HideTip('tt1083')" class=ISymbol>Mantis 4032(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4091" id=link1088 onMouseOver="ShowTip(event, 'tt1084', 'link1088')" onMouseOut="HideTip('tt1084')" class=ISymbol>Mantis 4091</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4160" id=link1089 onMouseOver="ShowTip(event, 'tt1085', 'link1089')" onMouseOut="HideTip('tt1085')" class=ISymbol>Mantis 4160</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4181(+)" id=link1090 onMouseOver="ShowTip(event, 'tt1086', 'link1090')" onMouseOut="HideTip('tt1086')" class=ISymbol>Mantis 4181(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4253" id=link1091 onMouseOver="ShowTip(event, 'tt1087', 'link1091')" onMouseOut="HideTip('tt1087')" class=ISymbol>Mantis 4253</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4269(*)" id=link1092 onMouseOver="ShowTip(event, 'tt1088', 'link1092')" onMouseOut="HideTip('tt1088')" class=ISymbol>Mantis 4269(*)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4306" id=link1093 onMouseOver="ShowTip(event, 'tt1089', 'link1093')" onMouseOut="HideTip('tt1089')" class=ISymbol>Mantis 4306</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4307" id=link1094 onMouseOver="ShowTip(event, 'tt1090', 'link1094')" onMouseOut="HideTip('tt1090')" class=ISymbol>Mantis 4307</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4366(+)" id=link1095 onMouseOver="ShowTip(event, 'tt1091', 'link1095')" onMouseOut="HideTip('tt1091')" class=ISymbol>Mantis 4366(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4378" id=link1096 onMouseOver="ShowTip(event, 'tt1092', 'link1096')" onMouseOut="HideTip('tt1092')" class=ISymbol>Mantis 4378</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4382(+)" id=link1097 onMouseOver="ShowTip(event, 'tt1093', 'link1097')" onMouseOut="HideTip('tt1093')" class=ISymbol>Mantis 4382(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4389(+)" id=link1098 onMouseOver="ShowTip(event, 'tt1094', 'link1098')" onMouseOut="HideTip('tt1094')" class=ISymbol>Mantis 4389(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4391" id=link1099 onMouseOver="ShowTip(event, 'tt1095', 'link1099')" onMouseOut="HideTip('tt1095')" class=ISymbol>Mantis 4391</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4416(*)" id=link1100 onMouseOver="ShowTip(event, 'tt1096', 'link1100')" onMouseOut="HideTip('tt1096')" class=ISymbol>Mantis 4416(*)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4418" id=link1101 onMouseOver="ShowTip(event, 'tt1097', 'link1101')" onMouseOut="HideTip('tt1097')" class=ISymbol>Mantis 4418</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4425" id=link1102 onMouseOver="ShowTip(event, 'tt1098', 'link1102')" onMouseOut="HideTip('tt1098')" class=ISymbol>Mantis 4425</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4430(+)" id=link1103 onMouseOver="ShowTip(event, 'tt1099', 'link1103')" onMouseOut="HideTip('tt1099')" class=ISymbol>Mantis 4430(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4431(*)(+)" id=link1104 onMouseOver="ShowTip(event, 'tt1100', 'link1104')" onMouseOut="HideTip('tt1100')" class=ISymbol>Mantis 4431(*)(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4432(+)" id=link1105 onMouseOver="ShowTip(event, 'tt1101', 'link1105')" onMouseOut="HideTip('tt1101')" class=ISymbol>Mantis 4432(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4433(*)" id=link1106 onMouseOver="ShowTip(event, 'tt1102', 'link1106')" onMouseOut="HideTip('tt1102')" class=ISymbol>Mantis 4433(*)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4434(+)" id=link1107 onMouseOver="ShowTip(event, 'tt1103', 'link1107')" onMouseOut="HideTip('tt1103')" class=ISymbol>Mantis 4434(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4439(+)" id=link1108 onMouseOver="ShowTip(event, 'tt1104', 'link1108')" onMouseOut="HideTip('tt1104')" class=ISymbol>Mantis 4439(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4440" id=link1109 onMouseOver="ShowTip(event, 'tt1105', 'link1109')" onMouseOut="HideTip('tt1105')" class=ISymbol>Mantis 4440</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4469(+)" id=link1110 onMouseOver="ShowTip(event, 'tt1106', 'link1110')" onMouseOut="HideTip('tt1106')" class=ISymbol>Mantis 4469(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4476(+)" id=link1111 onMouseOver="ShowTip(event, 'tt1107', 'link1111')" onMouseOut="HideTip('tt1107')" class=ISymbol>Mantis 4476(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4488(+)" id=link1112 onMouseOver="ShowTip(event, 'tt1108', 'link1112')" onMouseOut="HideTip('tt1108')" class=ISymbol>Mantis 4488(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4513(+)" id=link1113 onMouseOver="ShowTip(event, 'tt1109', 'link1113')" onMouseOut="HideTip('tt1109')" class=ISymbol>Mantis 4513(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4516(*)" id=link1114 onMouseOver="ShowTip(event, 'tt1110', 'link1114')" onMouseOut="HideTip('tt1110')" class=ISymbol>Mantis 4516(*)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4517(+)" id=link1115 onMouseOver="ShowTip(event, 'tt1111', 'link1115')" onMouseOut="HideTip('tt1111')" class=ISymbol>Mantis 4517(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4518(*)(+)" id=link1116 onMouseOver="ShowTip(event, 'tt1112', 'link1116')" onMouseOut="HideTip('tt1112')" class=ISymbol>Mantis 4518(*)(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4519(+)" id=link1117 onMouseOver="ShowTip(event, 'tt1113', 'link1117')" onMouseOut="HideTip('tt1113')" class=ISymbol>Mantis 4519(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4532" id=link1118 onMouseOver="ShowTip(event, 'tt1114', 'link1118')" onMouseOut="HideTip('tt1114')" class=ISymbol>Mantis 4532</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4533" id=link1119 onMouseOver="ShowTip(event, 'tt1115', 'link1119')" onMouseOut="HideTip('tt1115')" class=ISymbol>Mantis 4533</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4542" id=link1120 onMouseOver="ShowTip(event, 'tt1116', 'link1120')" onMouseOut="HideTip('tt1116')" class=ISymbol>Mantis 4542</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4548" id=link1121 onMouseOver="ShowTip(event, 'tt1117', 'link1121')" onMouseOut="HideTip('tt1117')" class=ISymbol>Mantis 4548</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4550" id=link1122 onMouseOver="ShowTip(event, 'tt1118', 'link1122')" onMouseOut="HideTip('tt1118')" class=ISymbol>Mantis 4550</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4553(+)" id=link1123 onMouseOver="ShowTip(event, 'tt1119', 'link1123')" onMouseOut="HideTip('tt1119')" class=ISymbol>Mantis 4553(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4566" id=link1124 onMouseOver="ShowTip(event, 'tt1120', 'link1124')" onMouseOut="HideTip('tt1120')" class=ISymbol>Mantis 4566</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4567" id=link1125 onMouseOver="ShowTip(event, 'tt1121', 'link1125')" onMouseOut="HideTip('tt1121')" class=ISymbol>Mantis 4567</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4570(*)(+)" id=link1126 onMouseOver="ShowTip(event, 'tt1122', 'link1126')" onMouseOut="HideTip('tt1122')" class=ISymbol>Mantis 4570(*)(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4572" id=link1127 onMouseOver="ShowTip(event, 'tt1123', 'link1127')" onMouseOut="HideTip('tt1123')" class=ISymbol>Mantis 4572</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4587" id=link1128 onMouseOver="ShowTip(event, 'tt1124', 'link1128')" onMouseOut="HideTip('tt1124')" class=ISymbol>Mantis 4587</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4588" id=link1129 onMouseOver="ShowTip(event, 'tt1125', 'link1129')" onMouseOut="HideTip('tt1125')" class=ISymbol>Mantis 4588</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4590" id=link1130 onMouseOver="ShowTip(event, 'tt1126', 'link1130')" onMouseOut="HideTip('tt1126')" class=ISymbol>Mantis 4590</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4606" id=link1131 onMouseOver="ShowTip(event, 'tt1127', 'link1131')" onMouseOut="HideTip('tt1127')" class=ISymbol>Mantis 4606</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4617" id=link1132 onMouseOver="ShowTip(event, 'tt1128', 'link1132')" onMouseOut="HideTip('tt1128')" class=ISymbol>Mantis 4617</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4618(*)" id=link1133 onMouseOver="ShowTip(event, 'tt1129', 'link1133')" onMouseOut="HideTip('tt1129')" class=ISymbol>Mantis 4618(*)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4621" id=link1134 onMouseOver="ShowTip(event, 'tt1130', 'link1134')" onMouseOut="HideTip('tt1130')" class=ISymbol>Mantis 4621</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4622" id=link1135 onMouseOver="ShowTip(event, 'tt1131', 'link1135')" onMouseOut="HideTip('tt1131')" class=ISymbol>Mantis 4622</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4643" id=link1136 onMouseOver="ShowTip(event, 'tt1132', 'link1136')" onMouseOut="HideTip('tt1132')" class=ISymbol>Mantis 4643</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4649(+)(*)" id=link1137 onMouseOver="ShowTip(event, 'tt1133', 'link1137')" onMouseOut="HideTip('tt1133')" class=ISymbol>Mantis 4649(+)(*)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4666" id=link1138 onMouseOver="ShowTip(event, 'tt1134', 'link1138')" onMouseOut="HideTip('tt1134')" class=ISymbol>Mantis 4666</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4677" id=link1139 onMouseOver="ShowTip(event, 'tt1135', 'link1139')" onMouseOut="HideTip('tt1135')" class=ISymbol>Mantis 4677</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4686(+)" id=link1140 onMouseOver="ShowTip(event, 'tt1136', 'link1140')" onMouseOut="HideTip('tt1136')" class=ISymbol>Mantis 4686(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4690" id=link1141 onMouseOver="ShowTip(event, 'tt1137', 'link1141')" onMouseOut="HideTip('tt1137')" class=ISymbol>Mantis 4690</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4711" id=link1142 onMouseOver="ShowTip(event, 'tt1138', 'link1142')" onMouseOut="HideTip('tt1138')" class=ISymbol>Mantis 4711</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4731" id=link1143 onMouseOver="ShowTip(event, 'tt1139', 'link1143')" onMouseOut="HideTip('tt1139')" class=ISymbol>Mantis 4731</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4732(+)" id=link1144 onMouseOver="ShowTip(event, 'tt1140', 'link1144')" onMouseOut="HideTip('tt1140')" class=ISymbol>Mantis 4732(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4734" id=link1145 onMouseOver="ShowTip(event, 'tt1141', 'link1145')" onMouseOut="HideTip('tt1141')" class=ISymbol>Mantis 4734</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4738" id=link1146 onMouseOver="ShowTip(event, 'tt1142', 'link1146')" onMouseOut="HideTip('tt1142')" class=ISymbol>Mantis 4738</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4743(+)" id=link1147 onMouseOver="ShowTip(event, 'tt1143', 'link1147')" onMouseOut="HideTip('tt1143')" class=ISymbol>Mantis 4743(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4744(*)(+)" id=link1148 onMouseOver="ShowTip(event, 'tt1144', 'link1148')" onMouseOut="HideTip('tt1144')" class=ISymbol>Mantis 4744(*)(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4766(*)" id=link1149 onMouseOver="ShowTip(event, 'tt1145', 'link1149')" onMouseOut="HideTip('tt1145')" class=ISymbol>Mantis 4766(*)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4767(+)" id=link1150 onMouseOver="ShowTip(event, 'tt1146', 'link1150')" onMouseOut="HideTip('tt1146')" class=ISymbol>Mantis 4767(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4772" id=link1151 onMouseOver="ShowTip(event, 'tt1147', 'link1151')" onMouseOut="HideTip('tt1147')" class=ISymbol>Mantis 4772</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4783(*)" id=link1152 onMouseOver="ShowTip(event, 'tt1148', 'link1152')" onMouseOut="HideTip('tt1148')" class=ISymbol>Mantis 4783(*)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4784(+)" id=link1153 onMouseOver="ShowTip(event, 'tt1149', 'link1153')" onMouseOut="HideTip('tt1149')" class=ISymbol>Mantis 4784(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4786(+)" id=link1154 onMouseOver="ShowTip(event, 'tt1150', 'link1154')" onMouseOut="HideTip('tt1150')" class=ISymbol>Mantis 4786(+)</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4861" id=link1155 onMouseOver="ShowTip(event, 'tt1151', 'link1155')" onMouseOut="HideTip('tt1151')" class=ISymbol>Mantis 4861</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4871" id=link1156 onMouseOver="ShowTip(event, 'tt1152', 'link1156')" onMouseOut="HideTip('tt1152')" class=ISymbol>Mantis 4871</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4882" id=link1157 onMouseOver="ShowTip(event, 'tt1153', 'link1157')" onMouseOut="HideTip('tt1153')" class=ISymbol>Mantis 4882</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4884" id=link1158 onMouseOver="ShowTip(event, 'tt1154', 'link1158')" onMouseOut="HideTip('tt1154')" class=ISymbol>Mantis 4884</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4893" id=link1159 onMouseOver="ShowTip(event, 'tt1155', 'link1159')" onMouseOut="HideTip('tt1155')" class=ISymbol>Mantis 4893</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4920" id=link1160 onMouseOver="ShowTip(event, 'tt1156', 'link1160')" onMouseOut="HideTip('tt1156')" class=ISymbol>Mantis 4920</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4923" id=link1161 onMouseOver="ShowTip(event, 'tt1157', 'link1161')" onMouseOut="HideTip('tt1157')" class=ISymbol>Mantis 4923</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4925" id=link1162 onMouseOver="ShowTip(event, 'tt1158', 'link1162')" onMouseOut="HideTip('tt1158')" class=ISymbol>Mantis 4925</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/relnotes-txt.html#Mantis_4931" id=link1163 onMouseOver="ShowTip(event, 'tt1159', 'link1163')" onMouseOut="HideTip('tt1159')" class=ISymbol>Mantis 4931</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>map</span><div class=ISubIndex><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item.map" id=link1164 onMouseOver="ShowTip(event, 'tt1160', 'link1164')" onMouseOut="HideTip('tt1160')" class=IParent>uvm_reg_item</a><a href="../files/reg/uvm_reg_predictor-svh.html#uvm_reg_predictor.map" id=link1165 onMouseOver="ShowTip(event, 'tt1161', 'link1165')" onMouseOut="HideTip('tt1161')" class=IParent>uvm_reg_predictor</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/tlm1-txt.html#Master_and_Slave" id=link1166 onMouseOver="ShowTip(event, 'tt1162', 'link1166')" onMouseOut="HideTip('tt1162')" class=ISymbol>Master and Slave</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>master_export</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_req_rsp_channel#(REQ,RSP).master_export" id=link1167 onMouseOver="ShowTip(event, 'tt1163', 'link1167')" onMouseOut="HideTip('tt1163')" class=IParent>uvm_tlm_req_rsp_channel#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>match_scope</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.match_scope" id=link1168 onMouseOver="ShowTip(event, 'tt1164', 'link1168')" onMouseOut="HideTip('tt1164')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>max_offset</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_policy.max_offset" id=link1169 onMouseOver="ShowTip(event, 'tt1165', 'link1169')" onMouseOut="HideTip('tt1165')" class=IParent>uvm_mem_mam_policy</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>max_random_count</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_library-svh.html#uvm_sequence_library.max_random_count" id=link1170 onMouseOver="ShowTip(event, 'tt1166', 'link1170')" onMouseOut="HideTip('tt1166')" class=IParent>uvm_sequence_library</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>max_size</span><div class=ISubIndex><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF).max_size" id=link1171 onMouseOver="ShowTip(event, 'tt1167', 'link1171')" onMouseOut="HideTip('tt1167')" class=IParent>uvm_port_base#(IF)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>mcd</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.mcd" id=link1172 onMouseOver="ShowTip(event, 'tt1168', 'link1172')" onMouseOut="HideTip('tt1168')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>mem</span><div class=ISubIndex><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_mem_shared_access_seq.mem" id=link1173 onMouseOver="ShowTip(event, 'tt1169', 'link1173')" onMouseOut="HideTip('tt1169')" class=IParent>uvm_mem_shared_access_seq</a><a href="../files/reg/sequences/uvm_mem_access_seq-svh.html#uvm_mem_single_access_seq.mem" id=link1174 onMouseOver="ShowTip(event, 'tt1170', 'link1174')" onMouseOut="HideTip('tt1170')" class=IParent>uvm_mem_single_access_seq</a><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#uvm_mem_single_walk_seq.mem" id=link1175 onMouseOver="ShowTip(event, 'tt1171', 'link1175')" onMouseOut="HideTip('tt1171')" class=IParent>uvm_mem_single_walk_seq</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>mem_seq</span><div class=ISubIndex><a href="../files/reg/sequences/uvm_mem_access_seq-svh.html#uvm_mem_access_seq.mem_seq" id=link1176 onMouseOver="ShowTip(event, 'tt1172', 'link1176')" onMouseOut="HideTip('tt1172')" class=IParent>uvm_mem_access_seq</a><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#uvm_mem_walk_seq.mem_seq" id=link1177 onMouseOver="ShowTip(event, 'tt1173', 'link1177')" onMouseOut="HideTip('tt1173')" class=IParent>uvm_mem_walk_seq</a><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_reg_mem_shared_access_seq.mem_seq" id=link1178 onMouseOver="ShowTip(event, 'tt1174', 'link1178')" onMouseOut="HideTip('tt1174')" class=IParent>uvm_reg_mem_shared_access_seq</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_mem_access_seq-svh.html#Memory_Access_Test_Sequence" class=ISymbol>Memory Access Test Sequence</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_mem_mam-svh.html#Memory_Allocation_Manager" id=link1179 onMouseOver="ShowTip(event, 'tt1175', 'link1179')" onMouseOut="HideTip('tt1175')" class=ISymbol>Memory Allocation Manager</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Memory Management</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam.Memory_Management" class=IParent>uvm_mem_mam</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#Memory_Walking-Ones_Test_Sequences" id=link1180 onMouseOver="ShowTip(event, 'tt1176', 'link1180')" onMouseOut="HideTip('tt1176')" class=ISymbol>Memory Walking-Ones Test Sequences</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Message Element APIs</span><div class=ISubIndex><a href="../files/base/uvm_report_message-svh.html#uvm_report_message.Message_Element_APIs" class=IParent>uvm_report_message</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_message_defines-svh.html#Message_Element_Macros" class=ISymbol>Message Element Macros</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Message Fields</span><div class=ISubIndex><a href="../files/base/uvm_report_message-svh.html#uvm_report_message.Message_Fields" class=IParent>uvm_report_message</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Message Processing</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.Message_Processing" class=IParent>uvm_default_report_server</a><a href="../files/base/uvm_report_handler-svh.html#uvm_report_handler.Message_Processing" class=IParent>uvm_report_handler</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>message recording</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.message_recording" id=link1181 onMouseOver="ShowTip(event, 'tt1177', 'link1181')" onMouseOut="HideTip('tt1177')" class=IParent>uvm_default_report_server</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_message_defines-svh.html#Message_Trace_Macros" class=ISymbol>Message Trace Macros</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Methods</span><div class=ISubIndex><a href="../files/dpi/uvm_hdl-svh.html#Methods" class=IParent>Global</a><a href="../files/tlm1/uvm_exports-svh.html#uvm_*_export#(REQ,RSP).Methods" class=IParent>uvm_*_export#(REQ,RSP)</a><a href="../files/tlm1/uvm_exports-svh.html#uvm_*_export#(T).Methods" class=IParent>uvm_*_export#(T)</a><a href="../files/tlm1/uvm_imps-svh.html#uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP).Methods" class=IParent>uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)</a><a href="../files/tlm1/uvm_imps-svh.html#uvm_*_imp#(T,IMP).Methods" class=IParent>uvm_*_imp#(T,IMP)</a><a href="../files/tlm1/uvm_ports-svh.html#uvm_*_port#(REQ,RSP).Methods" class=IParent>uvm_*_port#(REQ,RSP)</a><a href="../files/tlm1/uvm_ports-svh.html#uvm_*_port#(T).Methods" class=IParent>uvm_*_port#(T)</a><a href="../files/comps/uvm_agent-svh.html#uvm_agent.Methods" class=IParent>uvm_agent</a><a href="../files/comps/uvm_algorithmic_comparator-svh.html#uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER).Methods" class=IParent>uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)</a><a href="../files/tlm1/uvm_analysis_port-svh.html#uvm_analysis_export.Methods" class=IParent>uvm_analysis_export</a><a href="../files/tlm1/uvm_analysis_port-svh.html#uvm_analysis_port.Methods" class=IParent>uvm_analysis_port</a><a href="../files/base/uvm_barrier-svh.html#uvm_barrier.Methods" class=IParent>uvm_barrier</a><a href="../files/base/uvm_bottomup_phase-svh.html#uvm_bottomup_phase.Methods" class=IParent>uvm_bottomup_phase</a><a href="../files/base/uvm_common_phases-svh.html#uvm_build_phase.Methods" class=IParent>uvm_build_phase</a><a href="../files/comps/uvm_pair-svh.html#uvm_built_in_pair#(T1,T2).Methods" class=IParent>uvm_built_in_pair#(T1,T2)</a><a href="../files/base/uvm_callback-svh.html#uvm_callback.Methods" class=IParent>uvm_callback</a><a href="../files/base/uvm_callback-svh.html#uvm_callback_iter.Methods" class=IParent>uvm_callback_iter</a><a href="../files/base/uvm_common_phases-svh.html#uvm_check_phase.Methods" class=IParent>uvm_check_phase</a><a href="../files/comps/uvm_pair-svh.html#uvm_class_pair#(T1,T2).Methods" class=IParent>uvm_class_pair#(T1,T2)</a><a href="../files/base/uvm_comparer-svh.html#uvm_comparer.Methods" class=IParent>uvm_comparer</a><a href="../files/base/uvm_traversal-svh.html#uvm_component_name_check_visitor.Methods" class=IParent>uvm_component_name_check_visitor</a><a href="../files/base/uvm_registry-svh.html#uvm_component_registry#(T,Tname).Methods" class=IParent>uvm_component_registry#(T,Tname)</a><a href="../files/base/uvm_config_db-svh.html#uvm_config_db.Methods" class=IParent>uvm_config_db</a><a href="../files/base/uvm_config_db-svh.html#uvm_config_db_options.Methods" class=IParent>uvm_config_db_options</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_configure_phase.Methods" class=IParent>uvm_configure_phase</a><a href="../files/base/uvm_common_phases-svh.html#uvm_connect_phase.Methods" class=IParent>uvm_connect_phase</a><a href="../files/base/uvm_coreservice-svh.html#uvm_coreservice_t.Methods" class=IParent>uvm_coreservice_t</a><a href="../files/base/uvm_coreservice-svh.html#uvm_default_coreservice_t.Methods" class=IParent>uvm_default_coreservice_t</a><a href="../files/base/uvm_domain-svh.html#uvm_domain.Methods" class=IParent>uvm_domain</a><a href="../files/comps/uvm_driver-svh.html#uvm_driver#(REQ,RSP).Methods" class=IParent>uvm_driver#(REQ,RSP)</a><a href="../files/base/uvm_common_phases-svh.html#uvm_end_of_elaboration_phase.Methods" class=IParent>uvm_end_of_elaboration_phase</a><a href="../files/base/uvm_globals-svh.html#uvm_enum_wrapper#(T).Methods" class=IParent>uvm_enum_wrapper#(T)</a><a href="../files/comps/uvm_env-svh.html#uvm_env.Methods" class=IParent>uvm_env</a><a href="../files/base/uvm_event-svh.html#uvm_event#(T).Methods" class=IParent>uvm_event#(T)</a><a href="../files/base/uvm_event-svh.html#uvm_event_base.Methods" class=IParent>uvm_event_base</a><a href="../files/base/uvm_event_callback-svh.html#uvm_event_callback.Methods" class=IParent>uvm_event_callback</a><a href="../files/base/uvm_common_phases-svh.html#uvm_extract_phase.Methods" class=IParent>uvm_extract_phase</a><a href="../files/base/uvm_common_phases-svh.html#uvm_final_phase.Methods" class=IParent>uvm_final_phase</a><a href="../files/reg/uvm_reg_model-svh.html#uvm_hdl_path_concat.Methods" class=IParent>uvm_hdl_path_concat</a><a href="../files/base/uvm_heartbeat-svh.html#uvm_heartbeat.Methods" class=IParent>uvm_heartbeat</a><a href="../files/comps/uvm_in_order_comparator-svh.html#uvm_in_order_comparator#(T,comp_type,convert,pair_type).Methods" class=IParent>uvm_in_order_comparator#(T,comp_type,convert,pair_type)</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_main_phase.Methods" class=IParent>uvm_main_phase</a><a href="../files/reg/sequences/uvm_mem_access_seq-svh.html#uvm_mem_access_seq.Methods" class=IParent>uvm_mem_access_seq</a><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_region.Methods" class=IParent>uvm_mem_region</a><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#uvm_mem_single_walk_seq.Methods" class=IParent>uvm_mem_single_walk_seq</a><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#uvm_mem_walk_seq.Methods" class=IParent>uvm_mem_walk_seq</a><a href="../files/comps/uvm_monitor-svh.html#uvm_monitor.Methods" class=IParent>uvm_monitor</a><a href="../files/base/uvm_pool-svh.html#uvm_object_string_pool#(T).Methods" class=IParent>uvm_object_string_pool#(T)</a><a href="../files/base/uvm_factory-svh.html#uvm_object_wrapper.Methods" class=IParent>uvm_object_wrapper</a><a href="../files/base/uvm_objection-svh.html#uvm_objection_callback.Methods" class=IParent>uvm_objection_callback</a><a href="../files/base/uvm_phase-svh.html#uvm_phase_cb.Methods" class=IParent>uvm_phase_cb</a><a href="../files/base/uvm_phase-svh.html#uvm_phase_state_change.Methods" class=IParent>uvm_phase_state_change</a><a href="../files/base/uvm_pool-svh.html#uvm_pool#(KEY,T).Methods" class=IParent>uvm_pool#(KEY,T)</a><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF).Methods" class=IParent>uvm_port_base#(IF)</a><a href="../files/base/uvm_port_base-svh.html#uvm_port_component#(PORT).Methods" class=IParent>uvm_port_component#(PORT)</a><a href="../files/base/uvm_port_base-svh.html#uvm_port_component_base.Methods" class=IParent>uvm_port_component_base</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_configure_phase.Methods" class=IParent>uvm_post_configure_phase</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_main_phase.Methods" class=IParent>uvm_post_main_phase</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_reset_phase.Methods" class=IParent>uvm_post_reset_phase</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_post_shutdown_phase.Methods" class=IParent>uvm_post_shutdown_phase</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_configure_phase.Methods" class=IParent>uvm_pre_configure_phase</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_main_phase.Methods" class=IParent>uvm_pre_main_phase</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_reset_phase.Methods" class=IParent>uvm_pre_reset_phase</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_pre_shutdown_phase.Methods" class=IParent>uvm_pre_shutdown_phase</a><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.Methods" class=IParent>uvm_printer_knobs</a><a href="../files/comps/uvm_push_driver-svh.html#uvm_push_driver#(REQ,RSP).Methods" class=IParent>uvm_push_driver#(REQ,RSP)</a><a href="../files/seq/uvm_push_sequencer-svh.html#uvm_push_sequencer#(REQ,RSP).Methods" class=IParent>uvm_push_sequencer#(REQ,RSP)</a><a href="../files/base/uvm_queue-svh.html#uvm_queue#(T).Methods" class=IParent>uvm_queue#(T)</a><a href="../files/comps/uvm_random_stimulus-svh.html#uvm_random_stimulus#(T).Methods" class=IParent>uvm_random_stimulus#(T)</a><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#uvm_reg_access_seq.Methods" class=IParent>uvm_reg_access_seq</a><a href="../files/reg/uvm_reg_backdoor-svh.html#uvm_reg_backdoor.Methods" class=IParent>uvm_reg_backdoor</a><a href="../files/reg/sequences/uvm_reg_bit_bash_seq-svh.html#uvm_reg_bit_bash_seq.Methods" class=IParent>uvm_reg_bit_bash_seq</a><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_cbs.Methods" class=IParent>uvm_reg_cbs</a><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_frontdoor.Methods" class=IParent>uvm_reg_frontdoor</a><a href="../files/reg/sequences/uvm_reg_hw_reset_seq-svh.html#uvm_reg_hw_reset_seq.Methods" class=IParent>uvm_reg_hw_reset_seq</a><a href="../files/reg/uvm_reg_indirect-svh.html#uvm_reg_indirect_data.Methods" class=IParent>uvm_reg_indirect_data</a><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item.Methods" class=IParent>uvm_reg_item</a><a href="../files/reg/sequences/uvm_reg_mem_built_in_seq-svh.html#uvm_reg_mem_built_in_seq.Methods" class=IParent>uvm_reg_mem_built_in_seq</a><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_reg_mem_shared_access_seq.Methods" class=IParent>uvm_reg_mem_shared_access_seq</a><a href="../files/reg/uvm_reg_predictor-svh.html#uvm_reg_predictor.Methods" class=IParent>uvm_reg_predictor</a><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_read_only_cbs.Methods" class=IParent>uvm_reg_read_only_cbs</a><a href="../files/reg/uvm_reg_adapter-svh.html#uvm_reg_tlm_adapter.Methods" class=IParent>uvm_reg_tlm_adapter</a><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_transaction_order_policy.Methods" class=IParent>uvm_reg_transaction_order_policy</a><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_write_only_cbs.Methods" class=IParent>uvm_reg_write_only_cbs</a><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_element_base.Methods" class=IParent>uvm_report_message_element_base</a><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_element_container.Methods" class=IParent>uvm_report_message_element_container</a><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_int_element.Methods" class=IParent>uvm_report_message_int_element</a><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_object_element.Methods" class=IParent>uvm_report_message_object_element</a><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_string_element.Methods" class=IParent>uvm_report_message_string_element</a><a href="../files/base/uvm_common_phases-svh.html#uvm_report_phase.Methods" class=IParent>uvm_report_phase</a><a href="../files/base/uvm_report_server-svh.html#uvm_report_server.Methods" class=IParent>uvm_report_server</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_reset_phase.Methods" class=IParent>uvm_reset_phase</a><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db.Methods" class=IParent>uvm_resource_db</a><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db_options.Methods" class=IParent>uvm_resource_db_options</a><a href="../files/base/uvm_resource-svh.html#uvm_resource_options.Methods" class=IParent>uvm_resource_options</a><a href="../files/base/uvm_common_phases-svh.html#uvm_run_phase.Methods" class=IParent>uvm_run_phase</a><a href="../files/comps/uvm_scoreboard-svh.html#uvm_scoreboard.Methods" class=IParent>uvm_scoreboard</a><a href="../files/tlm1/uvm_sqr_connections-svh.html#uvm_seq_item_pull_imp#(REQ,RSP,IMP).Methods" class=IParent>uvm_seq_item_pull_imp#(REQ,RSP,IMP)</a><a href="../files/seq/uvm_sequence-svh.html#uvm_sequence#(REQ,RSP).Methods" class=IParent>uvm_sequence#(REQ,RSP)</a><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.Methods" class=IParent>uvm_sequencer_base</a><a href="../files/base/uvm_runtime_phases-svh.html#uvm_shutdown_phase.Methods" class=IParent>uvm_shutdown_phase</a><a href="../files/tlm1/uvm_sqr_ifs-svh.html#uvm_sqr_if_base#(REQ,RSP).Methods" class=IParent>uvm_sqr_if_base#(REQ,RSP)</a><a href="../files/base/uvm_common_phases-svh.html#uvm_start_of_simulation_phase.Methods" class=IParent>uvm_start_of_simulation_phase</a><a href="../files/base/uvm_traversal-svh.html#uvm_structure_proxy#(STRUCTURE).Methods" class=IParent>uvm_structure_proxy#(STRUCTURE)</a><a href="../files/comps/uvm_subscriber-svh.html#uvm_subscriber.Methods" class=IParent>uvm_subscriber</a><a href="../files/base/uvm_printer-svh.html#uvm_table_printer.Methods" class=IParent>uvm_table_printer</a><a href="../files/base/uvm_task_phase-svh.html#uvm_task_phase.Methods" class=IParent>uvm_task_phase</a><a href="../files/comps/uvm_test-svh.html#uvm_test.Methods" class=IParent>uvm_test</a><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_analysis_fifo#(T).Methods" class=IParent>uvm_tlm_analysis_fifo#(T)</a><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_initiator_socket.Methods" class=IParent>uvm_tlm_b_initiator_socket</a><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_target_socket.Methods" class=IParent>uvm_tlm_b_target_socket</a><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_extension.Methods" class=IParent>uvm_tlm_extension</a><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_extension_base.Methods" class=IParent>uvm_tlm_extension_base</a><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_fifo#(T).Methods" class=IParent>uvm_tlm_fifo#(T)</a><a href="../files/tlm1/uvm_tlm_fifo_base-svh.html#uvm_tlm_fifo_base#(T).Methods" class=IParent>uvm_tlm_fifo_base#(T)</a><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_initiator_socket.Methods" class=IParent>uvm_tlm_nb_initiator_socket</a><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_passthrough_target_socket.Methods" class=IParent>uvm_tlm_nb_passthrough_target_socket</a><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_target_socket.Methods" class=IParent>uvm_tlm_nb_target_socket</a><a href="../files/tlm2/uvm_tlm2_exports-svh.html#uvm_tlm_nb_transport_bw_export.Methods" class=IParent>uvm_tlm_nb_transport_bw_export</a><a href="../files/tlm2/uvm_tlm2_ports-svh.html#uvm_tlm_nb_transport_bw_port.Methods" class=IParent>uvm_tlm_nb_transport_bw_port</a><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_req_rsp_channel#(REQ,RSP).Methods" class=IParent>uvm_tlm_req_rsp_channel#(REQ,RSP)</a><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_transport_channel#(REQ,RSP).Methods" class=IParent>uvm_tlm_transport_channel#(REQ,RSP)</a><a href="../files/base/uvm_topdown_phase-svh.html#uvm_topdown_phase.Methods" class=IParent>uvm_topdown_phase</a><a href="../files/base/uvm_transaction-svh.html#uvm_transaction.Methods" class=IParent>uvm_transaction</a><a href="../files/base/uvm_printer-svh.html#uvm_tree_printer.Methods" class=IParent>uvm_tree_printer</a><a href="../files/base/uvm_misc-svh.html#uvm_utils#(TYPE,FIELD).Methods" class=IParent>uvm_utils#(TYPE,FIELD)</a><a href="../files/base/uvm_traversal-svh.html#uvm_visitor#(NODE).Methods" class=IParent>uvm_visitor#(NODE)</a><a href="../files/base/uvm_traversal-svh.html#uvm_visitor_adapter#(STRUCTURE,uvm_visitor#(STRUCTURE)).Methods" class=IParent>uvm_visitor_adapter#(STRUCTURE,uvm_visitor#(STRUCTURE))</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg_cbs.Methods" class=IParent>uvm_vreg_cbs</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field_cbs.Methods" class=IParent>uvm_vreg_field_cbs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Methods for printer subtyping</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.Methods_for_printer_subtyping" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Methods for printer usage</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.Methods_for_printer_usage" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>mid_do</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.mid_do" id=link1182 onMouseOver="ShowTip(event, 'tt1178', 'link1182')" onMouseOut="HideTip('tt1178')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>min_offset</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_policy.min_offset" id=link1183 onMouseOver="ShowTip(event, 'tt1179', 'link1183')" onMouseOut="HideTip('tt1179')" class=IParent>uvm_mem_mam_policy</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>min_random_count</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_library-svh.html#uvm_sequence_library.min_random_count" id=link1184 onMouseOver="ShowTip(event, 'tt1180', 'link1184')" onMouseOut="HideTip('tt1180')" class=IParent>uvm_sequence_library</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>min_size</span><div class=ISubIndex><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF).min_size" id=link1185 onMouseOver="ShowTip(event, 'tt1181', 'link1185')" onMouseOut="HideTip('tt1181')" class=IParent>uvm_port_base#(IF)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>mirror</span><div class=ISubIndex><a href="../files/reg/uvm_reg-svh.html#uvm_reg.mirror" id=link1186 onMouseOver="ShowTip(event, 'tt1182', 'link1186')" onMouseOut="HideTip('tt1182')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.mirror" id=link1187 onMouseOver="ShowTip(event, 'tt1183', 'link1187')" onMouseOut="HideTip('tt1183')" class=IParent>uvm_reg_block</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.mirror" id=link1188 onMouseOver="ShowTip(event, 'tt1184', 'link1188')" onMouseOut="HideTip('tt1184')" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.mirror" id=link1189 onMouseOver="ShowTip(event, 'tt1185', 'link1189')" onMouseOut="HideTip('tt1185')" class=IParent>uvm_reg_fifo</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>mirror_reg</span><div class=ISubIndex><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence.mirror_reg" id=link1190 onMouseOver="ShowTip(event, 'tt1186', 'link1190')" onMouseOut="HideTip('tt1186')" class=IParent>uvm_reg_sequence</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_globals-svh.html#Miscellaneous" class=ISymbol>Miscellaneous</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_misc-svh.html#Miscellaneous_Structures" class=ISymbol>Miscellaneous Structures</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>miscompares</span><div class=ISubIndex><a href="../files/base/uvm_comparer-svh.html#uvm_comparer.miscompares" id=link1191 onMouseOver="ShowTip(event, 'tt1187', 'link1191')" onMouseOut="HideTip('tt1187')" class=IParent>uvm_comparer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>mode</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_cfg.mode" id=link1192 onMouseOver="ShowTip(event, 'tt1188', 'link1192')" onMouseOut="HideTip('tt1188')" class=IParent>uvm_mem_mam_cfg</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>model</span><div class=ISubIndex><a href="../files/reg/sequences/uvm_mem_access_seq-svh.html#uvm_mem_access_seq.model" id=link1193 onMouseOver="ShowTip(event, 'tt1189', 'link1193')" onMouseOut="HideTip('tt1189')" class=IParent>uvm_mem_access_seq</a><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#uvm_mem_walk_seq.model" id=link1194 onMouseOver="ShowTip(event, 'tt1190', 'link1194')" onMouseOut="HideTip('tt1190')" class=IParent>uvm_mem_walk_seq</a><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#uvm_reg_access_seq.model" id=link1195 onMouseOver="ShowTip(event, 'tt1191', 'link1195')" onMouseOut="HideTip('tt1191')" class=IParent>uvm_reg_access_seq</a><a href="../files/reg/sequences/uvm_reg_bit_bash_seq-svh.html#uvm_reg_bit_bash_seq.model" id=link1196 onMouseOver="ShowTip(event, 'tt1192', 'link1196')" onMouseOut="HideTip('tt1192')" class=IParent>uvm_reg_bit_bash_seq</a><a href="../files/reg/sequences/uvm_reg_hw_reset_seq-svh.html#uvm_reg_hw_reset_seq.model" id=link1197 onMouseOver="ShowTip(event, 'tt1193', 'link1197')" onMouseOut="HideTip('tt1193')" class=IParent>uvm_reg_hw_reset_seq</a><a href="../files/reg/sequences/uvm_reg_mem_built_in_seq-svh.html#uvm_reg_mem_built_in_seq.model" id=link1198 onMouseOver="ShowTip(event, 'tt1194', 'link1198')" onMouseOut="HideTip('tt1194')" class=IParent>uvm_reg_mem_built_in_seq</a><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_reg_mem_shared_access_seq.model" id=link1199 onMouseOver="ShowTip(event, 'tt1195', 'link1199')" onMouseOut="HideTip('tt1195')" class=IParent>uvm_reg_mem_shared_access_seq</a><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence.model" id=link1200 onMouseOver="ShowTip(event, 'tt1196', 'link1200')" onMouseOut="HideTip('tt1196')" class=IParent>uvm_reg_sequence</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Modifying the offset of a memory will make the abstract model</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.Modifying_the_offset_of_a_memory_will_make_the_abstract_model" id=link1201 onMouseOver="ShowTip(event, 'tt1197', 'link1201')" onMouseOut="HideTip('tt1197')" class=IParent>uvm_mem</a></div></td></tr></table>
<!--START_ND_TOOLTIPS-->
<div class=CToolTip id="tt940"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function int has_child (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>name</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns 1 if this component has a child with the given <i>name</i>, 0 otherwise.</div></div><div class=CToolTip id="tt941"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function bit has_coverage(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_cvr_t&nbsp;</td>
<td class=PParameter nowrap width=100%>models</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if memory has coverage model(s)</div></div><div class=CToolTip id="tt942"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function bit has_coverage(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_cvr_t&nbsp;</td>
<td class=PParameter nowrap width=100%>models</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if register has coverage model(s)</div></div><div class=CToolTip id="tt943"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function bit has_coverage(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_cvr_t&nbsp;</td>
<td class=PParameter nowrap width=100%>models</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if block has coverage model(s)</div></div><div class=CToolTip id="tt944"><div class=CMethod>Returns 1 if any sequence running on this sequencer is ready to supply a transaction, 0 otherwise.</div></div><div class=CToolTip id="tt945"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit has_do_available()
</td></tr></table></blockquote>Returns 1 if any sequence running on this sequencer is ready to supply a transaction, 0 otherwise. </div></div><div class=CToolTip id="tt946"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit has_do_available()
</td></tr></table></blockquote>Indicates whether a sequence item is available for immediate processing. </div></div><div class=CToolTip id="tt947"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function bit has_hdl_path (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if a HDL path is specified</div></div><div class=CToolTip id="tt948"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function bit has_hdl_path (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if a HDL path is specified</div></div><div class=CToolTip id="tt949"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function bit has_hdl_path (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if a HDL path is specified</div></div><div class=CToolTip id="tt950"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function bit has_hdl_path (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if a HDL path is specified</div></div><div class=CToolTip id="tt951"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit has_lock()
</td></tr></table></blockquote>Returns 1 if this sequence has a lock, 0 otherwise.</div></div><div class=CToolTip id="tt952"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit has_lock(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap width=100%>sequence_ptr</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns 1 if the sequence referred to in the parameter currently has a lock on this sequencer, 0 otherwise.</div></div><div class=CToolTip id="tt953"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function bit has_reset(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;HARD&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>delete</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if any field in the register has a reset value specified for the specified reset <i>kind</i>. </div></div><div class=CToolTip id="tt954"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function bit has_reset(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;HARD&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>delete</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if the field has a reset value specified</div></div><div class=CToolTip id="tt955"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit header = 1
</td></tr></table></blockquote>Indicates whether the uvm_printer::format_header function should be called when printing an object.</div></div><div class=CToolTip id="tt956"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>string hex_radix = &quot;'h&quot;
</td></tr></table></blockquote>This string should be prepended to the value of an integral type when a radix of UVM_HEX is used for the radix of the integral object.</div></div><div class=CToolTip id="tt957"><div class=CGroup>This interface provides versions of the set_report_* methods in the uvm_report_object base class that are applied recursively to this component and all its children.</div></div><div class=CToolTip id="tt958"><div class=CGroup>These methods provide user access to information about the component hierarchy, i.e., topology.</div></div><!--END_ND_TOOLTIPS-->
<!--START_ND_TOOLTIPS-->
<div class=CToolTip id="tt959"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static function this_type ID()
</td></tr></table></blockquote>Return the unique ID of this TLM extension type. </div></div><div class=CToolTip id="tt960"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit identifier = 1
</td></tr></table></blockquote>Indicates whether uvm_printer::adjust_name should print the identifier. </div></div><div class=CToolTip id="tt961"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit identifier = 1
</td></tr></table></blockquote>This bit is used to specify whether or not an object&rsquo;s reference should be recorded when the object is recorded.</div></div><div class=CToolTip id="tt962"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual function bit implement(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>n,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_mem&nbsp;</td>
<td class=PParameter nowrap>mem</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>int&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>incr</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Dynamically implement, resize or relocate a virtual register array</div></div><div class=CToolTip id="tt963"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_mem_region in_use[$]
</td></tr></table></blockquote>Regions already allocated in the managed address space</div></div><div class=CToolTip id="tt964"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>static function void include_coverage(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>scope,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_cvr_t&nbsp;</td>
<td class=PParameter nowrap>models,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>accessor</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Specify which coverage model that must be included in various block, register or memory abstraction class instances.</div></div><div class=CToolTip id="tt965"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void incr(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>real&nbsp;</td>
<td class=PParameter nowrap>t,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>time&nbsp;</td>
<td class=PParameter nowrap>scaled,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>real&nbsp;</td>
<td class=PParameter nowrap>secs</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 1.0e-9</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Increment the time value by the specified number of scaled time unit</div></div><div class=CToolTip id="tt966"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function void incr_id_count(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>id</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Set, get, or increment the counter for reports with the given id.</div></div><div class=CToolTip id="tt967"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void incr_quit_count()
</td></tr></table></blockquote></div></div><div class=CToolTip id="tt968"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function void incr_severity_count(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_severity&nbsp;</td>
<td class=PParameter nowrap width=100%>severity</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt969"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>int indent = 2
</td></tr></table></blockquote>This knob specifies the number of spaces to use for level indentation. </div></div><div class=CToolTip id="tt970"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>function void init_access_record (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>inout uvm_resource_types::</td>
<td class=PType nowrap>access_t&nbsp;</td>
<td class=PParameter nowrap width=100%>access_record</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Initialize a new access record</div></div><div class=CToolTip id="tt971"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void init_sequence_library()
</td></tr></table></blockquote>All subtypes of this class must call init_sequence_library in its constructor.</div></div><div class=CToolTip id="tt972"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void insert (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap width=100%>index,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>T&nbsp;</td>
<td class=PParameter nowrap width=100%>item</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Inserts the item at the given <i>index</i> in the queue.</div></div><div class=CToolTip id="tt973"><div class=CSection>Each of the following macros is a mask that identifies which interfaces a particular port requires or export provides. </div></div><div class=CToolTip id="tt974"><div class=CGeneric>The uvm_config_db class provides a convenience interface on top of the uvm_resource_db to simplify the basic interface that is used for configuring uvm_component instances.</div></div><div class=CToolTip id="tt975"><div class=CGroup>The <i>uvm_get_to_lock_dap</i> cannot support the standard UVM instrumentation methods (<i>copy</i>, <i>clone</i>, <i>pack</i> and <i>unpack</i>), due to the fact that they would potentially violate the access policy.</div></div><div class=CToolTip id="tt976"><div class=CGroup>The <i>uvm_set_before_get_dap</i> cannot support the standard UVM instrumentation methods (<i>copy</i>, <i>clone</i>, <i>pack</i> and <i>unpack</i>), due to the fact that they would potentially violate the access policy.</div></div><div class=CToolTip id="tt977"><div class=CGroup>The <i>uvm_simple_lock_dap</i> cannot support the standard UVM instrumentation methods (<i>copy</i>, <i>clone</i>, <i>pack</i> and <i>unpack</i>), due to the fact that they would potentially violate the access policy.</div></div><div class=CToolTip id="tt978"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit is(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
returns 1 if the containing uvm_phase refers to the same phase as the phase argument, 0 otherwise</div></div><div class=CToolTip id="tt979"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_active ()
</td></tr></table></blockquote>Returns 1 if the transaction has been started but has not yet been ended. </div></div><div class=CToolTip id="tt980"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit is_after(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
returns 1 if the containing uvm_phase refers to a phase that is later than the phase argument, 0 otherwise</div></div><div class=CToolTip id="tt981"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static function bit is_auditing()
</td></tr></table></blockquote>Returns 1 if the auditing facility is on and 0 if it is off.</div></div><div class=CToolTip id="tt982"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_auto_item_recording_enabled()
</td></tr></table></blockquote>Return TRUE if automatic item recording is enabled for this port instance.</div></div><div class=CToolTip id="tt983"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function bit is_auto_updated(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_field&nbsp;</td>
<td class=PParameter nowrap width=100%>field</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Indicates if wait_for_change() method is implemented</div></div><div class=CToolTip id="tt984"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit is_before(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns 1 if the containing uvm_phase refers to a phase that is earlier than the phase argument, 0 otherwise</div></div><div class=CToolTip id="tt985"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_blocked()
</td></tr></table></blockquote>Returns a bit indicating whether this sequence is currently prevented from running due to another lock or grab. </div></div><div class=CToolTip id="tt986"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit is_blocked(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap width=100%>sequence_ptr</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns 1 if the sequence referred to by sequence_ptr is currently locked out of the sequencer. </div></div><div class=CToolTip id="tt987"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_busy()
</td></tr></table></blockquote>Returns 1 if register is currently being read or written.</div></div><div class=CToolTip id="tt988"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit is_child (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap width=100%>parent,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap width=100%>child</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns 1 if the child sequence is a child of the parent sequence, 0 otherwise.</div></div><div class=CToolTip id="tt989"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_closed()
</td></tr></table></blockquote>Returns true if this <i>uvm_recorder</i> was closed on its stream, but has not yet been freed.</div></div><div class=CToolTip id="tt990"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_closed()
</td></tr></table></blockquote>Returns true if this <i>uvm_tr_stream</i> was closed on the database, but has not yet been freed.</div></div><div class=CToolTip id="tt991"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_dmi_allowed()
</td></tr></table></blockquote>DMI hint. </div></div><div class=CToolTip id="tt992"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_empty()
</td></tr></table></blockquote>Returns 1 when there are no entries in the FIFO, 0 otherwise.</div></div><div class=CToolTip id="tt993"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_enabled()
</td></tr></table></blockquote>Returns 1 if the callback is enabled, 0 otherwise.</div></div><div class=CToolTip id="tt994"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_export ()
</td></tr></table></blockquote></div></div><div class=CToolTip id="tt995"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>pure virtual function bit is_export()
</td></tr></table></blockquote></div></div><div class=CToolTip id="tt996"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_full()
</td></tr></table></blockquote>Returns 1 when the number of entries in the FIFO is equal to its size, 0 otherwise.</div></div><div class=CToolTip id="tt997"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_grabbed()
</td></tr></table></blockquote>Returns 1 if any sequence currently has a lock or grab on this sequencer, 0 otherwise.</div></div><div class=CToolTip id="tt998"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function bit is_hdl_path_root (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if this block has an absolute path</div></div><div class=CToolTip id="tt999"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_imp ()
</td></tr></table></blockquote>Returns 1 if this port is of the type given by the method name, 0 otherwise.</div></div><div class=CToolTip id="tt1000"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>pure virtual function bit is_imp()
</td></tr></table></blockquote>These function determine the type of port. </div></div><div class=CToolTip id="tt1001"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit is_in_map (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Return TRUE if this memory is in the specified address <i>map</i></div></div><div class=CToolTip id="tt1002"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit is_in_map (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns 1 if this register is in the specified address <i>map</i></div></div><div class=CToolTip id="tt1003"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit is_in_map (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Return TRUE if this virtual register array is in the specified address <i>map</i></div></div><div class=CToolTip id="tt1004"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit is_indv_accessible (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>local_map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if this field can be written individually, i.e. </div></div><div class=CToolTip id="tt1005"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_item()
</td></tr></table></blockquote>Returns 1 on items and 0 on sequences. </div></div><div class=CToolTip id="tt1006"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_item()
</td></tr></table></blockquote>This function may be called on any sequence_item or sequence. </div></div><div class=CToolTip id="tt1007"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function bit is_known_access(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Check if access policy is a built-in one.</div></div><div class=CToolTip id="tt1008"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_locked()
</td></tr></table></blockquote>Return TRUE if the model is locked.</div></div><div class=CToolTip id="tt1009"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_locked()
</td></tr></table></blockquote>Returns the state of the lock.</div></div><div class=CToolTip id="tt1010"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_null ()
</td></tr></table></blockquote>This method is used during unpack operations to peek at the next 4-bit chunk of the pack data and determine if it is 0.</div></div><div class=CToolTip id="tt1011"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_off ()
</td></tr></table></blockquote>Indicates whether the event has been triggered or been reset.</div></div><div class=CToolTip id="tt1012"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_on ()
</td></tr></table></blockquote>Indicates whether the event has been triggered since it was last reset.</div></div><div class=CToolTip id="tt1013"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_open()
</td></tr></table></blockquote>Returns true if this <i>uvm_recorder</i> was opened on its stream, but has not yet been closed.</div></div><div class=CToolTip id="tt1014"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_open()
</td></tr></table></blockquote>Returns the open/closed status of the database.</div></div><div class=CToolTip id="tt1015"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_open()
</td></tr></table></blockquote>Returns true if this <i>uvm_tr_stream</i> was opened on the database, but has not yet been closed.</div></div><div class=CToolTip id="tt1016"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_port ()
</td></tr></table></blockquote></div></div><div class=CToolTip id="tt1017"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>pure virtual function bit is_port()
</td></tr></table></blockquote></div></div><div class=CToolTip id="tt1018"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_quit_count_reached()
</td></tr></table></blockquote>If is_quit_count_reached returns 1, then the quit counter has reached the maximum.</div></div><div class=CToolTip id="tt1019"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_read()
</td></tr></table></blockquote>Returns true if the current value of the m_command variable is <i>UVM_TLM_READ_COMMAND</i>.</div></div><div class=CToolTip id="tt1020"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_read_only()
</td></tr></table></blockquote>Returns one if this resource has been set to read-only, zero otherwise</div></div><div class=CToolTip id="tt1021"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_recording_enabled()
</td></tr></table></blockquote>Returns 1 if recording is currently on, 0 otherwise.</div></div><div class=CToolTip id="tt1022"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_relevant()
</td></tr></table></blockquote>The default is_relevant implementation returns 1, indicating that the sequence is always relevant.</div></div><div class=CToolTip id="tt1023"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_response_error()
</td></tr></table></blockquote>Return TRUE if the current value of the m_response_status variable is not <i>UVM_TLM_OK_RESPONSE</i></div></div><div class=CToolTip id="tt1024"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_response_ok()
</td></tr></table></blockquote>Return TRUE if the current value of the m_response_status variable is <i>UVM_TLM_OK_RESPONSE</i></div></div><div class=CToolTip id="tt1025"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static function bit is_tracing()
</td></tr></table></blockquote>Returns 1 if the tracing facility is on and 0 if it is off.</div></div><div class=CToolTip id="tt1026"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static function bit is_tracing()
</td></tr></table></blockquote>Returns 1 if the tracing facility is on and 0 if it is off.</div></div><div class=CToolTip id="tt1027"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit is_unbounded ()
</td></tr></table></blockquote>Returns 1 if this port has no maximum on the number of implementation ports this port can connect to. </div></div><div class=CToolTip id="tt1028"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_volatile()
</td></tr></table></blockquote>Indicates if the field value is volatile</div></div><div class=CToolTip id="tt1029"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit is_write()
</td></tr></table></blockquote>Returns true if the current value of the m_command variable is <i>UVM_TLM_WRITE_COMMAND</i>.</div></div><div class=CToolTip id="tt1030"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>protected function void issue()
</td></tr></table></blockquote>Immediately issues the message which is currently being processed. </div></div><div class=CToolTip id="tt1031"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void item_done (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>RSP&nbsp;</td>
<td class=PParameter nowrap>item</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Indicates that the request is completed.</div></div><div class=CToolTip id="tt1032"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual function void item_done(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>T2&nbsp;</td>
<td class=PParameter nowrap>t</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Indicates that the request is completed to the sequencer. </div></div><div class=CToolTip id="tt1033"><div class=CGroup>This set of functions provide an iterator interface for callback queues. </div></div><!--END_ND_TOOLTIPS-->
<!--START_ND_TOOLTIPS-->
<div class=CToolTip id="tt1034"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function void jump(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
jumps all active phases of this domain to to-phase if there is a path between active-phase and to-phase</div></div><div class=CToolTip id="tt1035"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function void jump(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Jump to a specified <i>phase</i>. </div></div><div class=CToolTip id="tt1036"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function uvm_phase jump_to()
</td></tr></table></blockquote>If the current state is <i>UVM_PHASE_ENDED</i> or <i>UVM_PHASE_JUMPING</i> because of a phase jump, returns the phase that is the target of jump. </div></div><!--END_ND_TOOLTIPS-->
<!--START_ND_TOOLTIPS-->
<div class=CToolTip id="tt1037"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void kill()
</td></tr></table></blockquote>This function will kill the sequence, and cause all current locks and requests in the sequence&rsquo;s default sequencer to be removed. </div></div><div class=CToolTip id="tt1038"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_access_e kind
</td></tr></table></blockquote>Kind of access: READ or WRITE.</div></div><div class=CToolTip id="tt1039"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand uvm_access_e kind
</td></tr></table></blockquote>Kind of access: READ or WRITE.</div></div><div class=CToolTip id="tt1040"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_printer_knobs knobs = new
</td></tr></table></blockquote>The knob object provides access to the variety of knobs associated with a specific printer instance.</div></div><!--END_ND_TOOLTIPS-->
<!--START_ND_TOOLTIPS-->
<div class=CToolTip id="tt1041"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function CB last()
</td></tr></table></blockquote>Returns the last valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object. </div></div><div class=CToolTip id="tt1042"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual function int last (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>KEY&nbsp;</td>
<td class=PParameter nowrap width=100%>key</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns the key of the last item stored in the pool.</div></div><div class=CToolTip id="tt1043"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>function REQ last_req(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>int&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>n</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns the last request item by default. </div></div><div class=CToolTip id="tt1044"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>function RSP last_rsp(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>int&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>n</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns the last response item by default. </div></div><div class=CToolTip id="tt1045"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>int unsigned len
</td></tr></table></blockquote>Number of addresses required</div></div><div class=CToolTip id="tt1046"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>int lineno
</td></tr></table></blockquote>The file name from where this transaction originated, if provided at the call site.</div></div><div class=CToolTip id="tt1047"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_reg_map local_map
</td></tr></table></blockquote>The local map used to obtain addresses. </div></div><div class=CToolTip id="tt1048"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand uvm_mem_mam::locality_e locality
</td></tr></table></blockquote>Region location mode</div></div><div class=CToolTip id="tt1049"><div class=CType>Location of memory regions</div></div><div class=CToolTip id="tt1050"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>task lock(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequencer_base&nbsp;</td>
<td class=PParameter nowrap>sequencer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Requests a lock on the specified sequencer. </div></div><div class=CToolTip id="tt1051"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task lock(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap width=100%>sequence_ptr</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Requests a lock for the sequence specified by sequence_ptr.</div></div><div class=CToolTip id="tt1052"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void lock()
</td></tr></table></blockquote>Locks the data value</div></div><div class=CToolTip id="tt1053"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void lock_model()
</td></tr></table></blockquote>Lock a model and build the address map.</div></div><div class=CToolTip id="tt1054"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function uvm_component lookup (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>name</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Looks for a component with the given hierarchical <i>name</i> relative to this component. </div></div><div class=CToolTip id="tt1055"><div class=CGroup>This group of functions is for finding resources in the resource database.</div></div><div class=CToolTip id="tt1056"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function uvm_resource_types::rsrc_q_t lookup_name(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>scope</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_resource_base&nbsp;</td>
<td class=PParameter nowrap>type_handle</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>rpterr</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Lookup resources by <i>name</i>. </div></div><div class=CToolTip id="tt1057"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function uvm_resource_types::rsrc_q_t lookup_regex(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>re,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>&nbsp;</td>
<td class=PParameter nowrap width=100%>scope</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Looks for all the resources whose name matches the regular expression argument and whose scope matches the current scope.</div></div><div class=CToolTip id="tt1058"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function uvm_resource_types::rsrc_q_t lookup_regex_names(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>scope,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_resource_base&nbsp;</td>
<td class=PParameter nowrap>type_handle</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
This utility function answers the question, for a given <i>name</i>, <i>scope</i>, and <i>type_handle</i>, what are all of the resources with requested name, a matching scope (where the resource scope may be a regular expression), and a matching type?&nbsp; </div></div><div class=CToolTip id="tt1059"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function uvm_resource_types::rsrc_q_t lookup_scope(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>scope</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
This is a utility function that answers the question: For a given <i>scope</i>, what resources are visible to it?&nbsp; </div></div><div class=CToolTip id="tt1060"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function uvm_resource_types::rsrc_q_t lookup_type(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>scope</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_resource_base&nbsp;</td>
<td class=PParameter nowrap>type_handle</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Lookup resources by type. </div></div><!--END_ND_TOOLTIPS-->
<!--START_ND_TOOLTIPS-->
<div class=CToolTip id="tt1061"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand bit [63:0] m_address
</td></tr></table></blockquote>Address for the bus operation. </div></div><div class=CToolTip id="tt1062"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand byte unsigned m_byte_enable[]
</td></tr></table></blockquote>Indicates valid m_data array elements. </div></div><div class=CToolTip id="tt1063"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand int unsigned m_byte_enable_length
</td></tr></table></blockquote>The number of elements in the m_byte_enable array.</div></div><div class=CToolTip id="tt1064"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand uvm_tlm_command_e m_command
</td></tr></table></blockquote>Bus operation type. </div></div><div class=CToolTip id="tt1065"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand byte unsigned m_data[]
</td></tr></table></blockquote>Data read or to be written. </div></div><div class=CToolTip id="tt1066"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit m_dmi
</td></tr></table></blockquote>DMI mode is not yet supported in the UVM TLM2 subset. </div></div><div class=CToolTip id="tt1067"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand int unsigned m_length
</td></tr></table></blockquote>The number of bytes to be copied to or from the m_data array, inclusive of any bytes disabled by the m_byte_enable attribute.</div></div><div class=CToolTip id="tt1068"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand uvm_tlm_response_status_e m_response_status
</td></tr></table></blockquote>Status of the bus operation. </div></div><div class=CToolTip id="tt1069"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand int unsigned m_streaming_width
</td></tr></table></blockquote>Number of bytes transferred on each beat. </div></div><div class=CToolTip id="tt1070"><div class=CSection>UVM includes some macros to allow the user to specify intent without the need to specify multiple types of SystemVerilog constructs. </div></div><div class=CToolTip id="tt1071"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task main_phase(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_main_phase phase implementation method.</div></div><div class=CToolTip id="tt1072"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_mem_mam mam
</td></tr></table></blockquote>Memory allocation manager</div></div><div class=CToolTip id="tt1073"><div class=CGroup>uvm_event should be a parameterized class</div></div><div class=CToolTip id="tt1074"><div class=CGroup>Deprecate get_config/set_config interface for configuration</div></div><div class=CToolTip id="tt1075"><div class=CGroup>ref guide doesn&rsquo;t show names of UVM runtime phases</div></div><div class=CToolTip id="tt1076"><div class=CGroup>UVM_DISABLE_AUTO_ITEM_RECORDING should be a run_time option</div></div><div class=CToolTip id="tt1077"><div class=CGroup>Setting enum values by name from command line doesn&rsquo;t work</div></div><div class=CToolTip id="tt1078"><div class=CGroup>Phase&rsquo;s default sequence should also have get_config string support</div></div><div class=CToolTip id="tt1079"><div class=CGroup>Remove all $display calls from BCL, except report_server</div></div><div class=CToolTip id="tt1080"><div class=CGroup>request facility to identify unused factory overrides</div></div><div class=CToolTip id="tt1081"><div class=CGroup>Feature request to create a non-hierarchical objection</div></div><div class=CToolTip id="tt1082"><div class=CGroup>Feature request to prevent objection from throwing decrement-below-zero error if the count is 0</div></div><div class=CToolTip id="tt1083"><div class=CGroup>Not possible to undo a factory override.</div></div><div class=CToolTip id="tt1084"><div class=CGroup>bug in the <i>get_full_name</i> method of uvm_reg_file.</div></div><div class=CToolTip id="tt1085"><div class=CGroup>uvm_comparer not using verbosity setting for print_msg()</div></div><div class=CToolTip id="tt1086"><div class=CGroup>transaction order unclear and cant be changed when bus/reg size differ</div></div><div class=CToolTip id="tt1087"><div class=CGroup>Volatile fields should always need updating</div></div><div class=CToolTip id="tt1088"><div class=CGroup>Add UVM_ prefix to enumerated values</div></div><div class=CToolTip id="tt1089"><div class=CGroup>Bad reference to &ldquo;record_field_int&rdquo; in reference guide</div></div><div class=CToolTip id="tt1090"><div class=CGroup>Bad reference to &ldquo;DEC&rdquo; in reference guide</div></div><div class=CToolTip id="tt1091"><div class=CGroup>uvm_sequence_library documentation missing from html documentation</div></div><div class=CToolTip id="tt1092"><div class=CGroup>Documentation of uvm_component::set_inst_override_by_type() incorrectly documents uvm_factory::set_inst_override_by_type()</div></div><div class=CToolTip id="tt1093"><div class=CGroup>some symbols in uvm_radix_enum undocumented</div></div><div class=CToolTip id="tt1094"><div class=CGroup>Deprecate hierarchical propagation of objections; add mode bit in interim</div></div><div class=CToolTip id="tt1095"><div class=CGroup>Redundant code in uvm_port_base</div></div><div class=CToolTip id="tt1096"><div class=CGroup>Remove the confusing uvm_severity type</div></div><div class=CToolTip id="tt1097"><div class=CGroup>set_report_verbosity doc unclear about setting value or threshold</div></div><div class=CToolTip id="tt1098"><div class=CGroup>BUSY flag not cleared when register model is reset</div></div><div class=CToolTip id="tt1099"><div class=CGroup>Refactor start_phase_sequence logic to be able to kill sequence on phase ending</div></div><div class=CToolTip id="tt1100"><div class=CGroup>Enhance uvm_sequence::starting_phase</div></div><div class=CToolTip id="tt1101"><div class=CGroup>Provide auto-object-to-phase in uvm_sequence_base</div></div><div class=CToolTip id="tt1102"><div class=CGroup>Clean up the number of objections created by phasing</div></div><div class=CToolTip id="tt1103"><div class=CGroup>Provide get_objection_count in uvm_phase</div></div><div class=CToolTip id="tt1104"><div class=CGroup>seq_item_port.put_response is not documented</div></div><div class=CToolTip id="tt1105"><div class=CGroup>jump logic too early in phase state pipeline</div></div><div class=CToolTip id="tt1106"><div class=CGroup>Provide schedule introspection via get_predecessor/successor_nodes</div></div><div class=CToolTip id="tt1107"><div class=CGroup>uvm_sequence fields req/rsp are not documented</div></div><div class=CToolTip id="tt1108"><div class=CGroup>sequencer_base should throw error if user allows wait_for_relevant to return while is_relevant=0</div></div><div class=CToolTip id="tt1109"><div class=CGroup>Add uvm_mem_mam_policy allocator to uvm_vreg::allocate()</div></div><div class=CToolTip id="tt1110"><div class=CGroup>Remove the unnecessary over-prioritization of uvm_sequence_base in default_sequence</div></div><div class=CToolTip id="tt1111"><div class=CGroup>Add phase-transition callbacks</div></div><div class=CToolTip id="tt1112"><div class=CGroup>Make UVM_OBJECT_MUST_HAVE_CONSTRUCTOR the default behavior</div></div><div class=CToolTip id="tt1113"><div class=CGroup>Enable all flavors of phase jumping</div></div><div class=CToolTip id="tt1114"><div class=CGroup>uvm_reg_access_seq ignoring regs with one RO field</div></div><div class=CToolTip id="tt1115"><div class=CGroup>initialized memory on DPI side may survive reset and will cause bad behaviour</div></div><div class=CToolTip id="tt1116"><div class=CGroup>uvm_test_done should be made &ldquo;const&rdquo;</div></div><div class=CToolTip id="tt1117"><div class=CGroup>cleanup static dpi issues</div></div><div class=CToolTip id="tt1118"><div class=CGroup>are uvm tlm connections with non-uvm_object a legal/supported use model?</div></div><div class=CToolTip id="tt1119"><div class=CGroup>Infinite recursion in uvm_reg_field::get_access</div></div><div class=CToolTip id="tt1120"><div class=CGroup>comparer.show_max can cause check to pass when length mismatches</div></div><div class=CToolTip id="tt1121"><div class=CGroup>uvm_reg::do_predict ignoring UVM_NOT_OK</div></div><div class=CToolTip id="tt1122"><div class=CGroup>Messaging System Revamp</div></div><div class=CToolTip id="tt1123"><div class=CGroup>packing/unpacking issues</div></div><div class=CToolTip id="tt1124"><div class=CGroup>Bugs in UVM 1.1-c: uvm_reg_tlm_adapter::reg2bus()</div></div><div class=CToolTip id="tt1125"><div class=CGroup>in-built sequence is not equipped to exclude a block from the test sequence</div></div><div class=CToolTip id="tt1126"><div class=CGroup>update uvm_hdl.c to allow VCS/IUS to perform backdoor access to VHDL</div></div><div class=CToolTip id="tt1127"><div class=CGroup>uvm_report_server is not really extendable/chainable</div></div><div class=CToolTip id="tt1128"><div class=CGroup>uvm_report_cb::add_by_name example needs review</div></div><div class=CToolTip id="tt1129"><div class=CGroup>resource lookup broken/undefined when fieldname has wildcards</div></div><div class=CToolTip id="tt1130"><div class=CGroup>printing of int fields will mask Z values with X</div></div><div class=CToolTip id="tt1131"><div class=CGroup>uvm_phase::unsync() does not work</div></div><div class=CToolTip id="tt1132"><div class=CGroup>uvm_dpi_get_next_arg_c doesnt fully adhere to -1800-lrm</div></div><div class=CToolTip id="tt1133"><div class=CGroup>Improve memory performance of &lsquo;bitstream&rsquo; interfaces for report/record/etc.</div></div><div class=CToolTip id="tt1134"><div class=CGroup>uvm_config_db::set expects to be invoked in process context</div></div><div class=CToolTip id="tt1135"><div class=CGroup>remove uvm_global_copy_map</div></div><div class=CToolTip id="tt1136"><div class=CGroup>predict updated not just the mirrored field</div></div><div class=CToolTip id="tt1137"><div class=CGroup>Typo in uvm_sequence_base.vh (mehod should be method)</div></div><div class=CToolTip id="tt1138"><div class=CGroup>uvm macros violate 1800lrm iterator requirements</div></div><div class=CToolTip id="tt1139"><div class=CGroup>Static initialization races</div></div><div class=CToolTip id="tt1140"><div class=CGroup>uvm_phase_state initializes to incorrect value</div></div><div class=CToolTip id="tt1141"><div class=CGroup>Bug in uvm_reg_map::get_size();</div></div><div class=CToolTip id="tt1142"><div class=CGroup>DPI Code does not use UVM Messaging</div></div><div class=CToolTip id="tt1143"><div class=CGroup>Promote get_next_item, try_next_item, item_done, get, peek, and put to be a part of the standard</div></div><div class=CToolTip id="tt1144"><div class=CGroup>Recording System Revamp</div></div><div class=CToolTip id="tt1145"><div class=CGroup>Fix broken `uvm_record_field + `uvm_record_attribute interaction</div></div><div class=CToolTip id="tt1146"><div class=CGroup>`uvm_pack_int and `uvm_unpack_int bypass the uvm_packer policy methods</div></div><div class=CToolTip id="tt1147"><div class=CGroup>separate uvm_hdl* source into files-by-vendor</div></div><div class=CToolTip id="tt1148"><div class=CGroup>`uvm_record_* macros bypass uvm_recorder policy class</div></div><div class=CToolTip id="tt1149"><div class=CGroup>`uvm_record_int/string/time/real are undocumented</div></div><div class=CToolTip id="tt1150"><div class=CGroup>print_real is undocumented</div></div><div class=CToolTip id="tt1151"><div class=CGroup>Adding a uvm_reg_map to an existing regmap instance required both maps to have the same parent. </div></div><div class=CToolTip id="tt1152"><div class=CGroup>Fixed a problem wherein multiple <i>+uvm_set_*</i> commands were ignored after a format error.</div></div><div class=CToolTip id="tt1153"><div class=CGroup>The instance name of the phase objection was changed to reflect the name of the phase.</div></div><div class=CToolTip id="tt1154"><div class=CGroup>A performance fix was implemented which avoided the copy of large QDA within the spell checker.</div></div><div class=CToolTip id="tt1155"><div class=CGroup>Fixed a bug within uvm_leaf_scope which could lead to stall of simulation.</div></div><div class=CToolTip id="tt1156"><div class=CGroup>Fixed random stability bug in <i>lookup_name</i> which caused instability when the config database was queried.</div></div><div class=CToolTip id="tt1157"><div class=CGroup>A check was added for an out-of-bound queue access in uvm_misc.svh. </div></div><div class=CToolTip id="tt1158"><div class=CGroup>The macros `UVM_MAX_STREAMBITS, `UVM_PACKER_MAX_BYTES and `UVM_DEFAULT_TIMEOUT were relocated to src/macros/uvm_global_defines.svh, which is included via uvm_macros.svh. </div></div><div class=CToolTip id="tt1159"><div class=CGroup>Various typos and bad links within the reference guide were corrected.</div></div><div class=CToolTip id="tt1160"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_reg_map map
</td></tr></table></blockquote>The original map specified for the operation. </div></div><div class=CToolTip id="tt1161"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_reg_map map
</td></tr></table></blockquote>The map used to convert a bus address to the corresponding register or memory handle. </div></div><div class=CToolTip id="tt1162"><div class=CGeneric>The primitive, unidirectional <i>put</i>, <i>get</i>, and <i>peek</i> interfaces are combined to form bidirectional master and slave interfaces. </div></div><div class=CToolTip id="tt1163"><div class=CPort>Exports a single interface that allows a master to put requests and get or peek responses. </div></div><div class=CToolTip id="tt1164"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function bit match_scope(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>s</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Using the regular expression facility, determine if this resource is visible in a scope. </div></div><div class=CToolTip id="tt1165"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit [63:0] max_offset
</td></tr></table></blockquote>Maximum address offset in the managed address space</div></div><div class=CToolTip id="tt1166"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>int unsigned max_random_count=10
</td></tr></table></blockquote>Sets the maximum number of items to execute. </div></div><div class=CToolTip id="tt1167"><div class=CMethod>Returns the maximum number of implementation ports that must be connected to this port by the end_of_elaboration phase.</div></div><div class=CToolTip id="tt1168"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>int mcd = UVM_STDOUT
</td></tr></table></blockquote>This is a file descriptor, or multi-channel descriptor, that specifies where the print output should be directed.</div></div><div class=CToolTip id="tt1169"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_mem mem
</td></tr></table></blockquote>The memory to be tested</div></div><div class=CToolTip id="tt1170"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_mem mem
</td></tr></table></blockquote>The memory to be tested</div></div><div class=CToolTip id="tt1171"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_mem mem
</td></tr></table></blockquote>The memory to test; must be assigned prior to starting sequence.</div></div><div class=CToolTip id="tt1172"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>protected uvm_mem_single_access_seq mem_seq
</td></tr></table></blockquote>The sequence used to test one memory</div></div><div class=CToolTip id="tt1173"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>protected uvm_mem_single_walk_seq mem_seq
</td></tr></table></blockquote>The sequence used to test one memory</div></div><div class=CToolTip id="tt1174"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>protected uvm_mem_shared_access_seq mem_seq
</td></tr></table></blockquote>The sequence used to test one memory</div></div><div class=CToolTip id="tt1175"><div class=CSection>Manages the exclusive allocation of consecutive memory locations called <i>regions</i>. </div></div><div class=CToolTip id="tt1176"><div class=CSection>This section defines sequences for applying a &ldquo;walking-ones&rdquo; algorithm on one or more memories.</div></div><div class=CToolTip id="tt1177"><div class=CGroup>The <i>uvm_default_report_server</i> will record messages into the message database, using one transaction per message, and one stream per report object/handler pair.</div></div><div class=CToolTip id="tt1178"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void mid_do(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence_item&nbsp;</td>
<td class=PParameter nowrap width=100%>this_item</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
This function is a user-definable callback function that is called after the sequence item has been randomized, and just before the item is sent to the driver. </div></div><div class=CToolTip id="tt1179"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit [63:0] min_offset
</td></tr></table></blockquote>Minimum address offset in the managed address space</div></div><div class=CToolTip id="tt1180"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>int unsigned min_random_count=10
</td></tr></table></blockquote>Sets the minimum number of items to execute. </div></div><div class=CToolTip id="tt1181"><div class=CMethod>Returns the minimum number of implementation ports that must be connected to this port by the end_of_elaboration phase.</div></div><div class=CToolTip id="tt1182"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task mirror(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_check_e&nbsp;</td>
<td class=PParameter nowrap>check</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_NO_CHECK,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap>path</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>prior</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Read the register and update/check its mirror value</div></div><div class=CToolTip id="tt1183"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task mirror(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_check_e&nbsp;</td>
<td class=PParameter nowrap>check</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_NO_CHECK,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap>path</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>prior</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Update the mirrored values</div></div><div class=CToolTip id="tt1184"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task mirror(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_check_e&nbsp;</td>
<td class=PParameter nowrap>check</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_NO_CHECK,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap>path</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>prior</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Read the field and update/check its mirror value</div></div><div class=CToolTip id="tt1185"><div class=CMethod>Reads the next value out of the DUT FIFO. </div></div><div class=CToolTip id="tt1186"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task mirror_reg(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg&nbsp;</td>
<td class=PParameter nowrap>rg,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_check_e&nbsp;</td>
<td class=PParameter nowrap>check</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_NO_CHECK,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap>path</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>prior</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Mirrors the given register <i>rg</i> using uvm_reg::mirror, supplying &lsquo;this&rsquo; as the <i>parent</i> argument. </div></div><div class=CToolTip id="tt1187"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>string miscompares = &quot;&quot;
</td></tr></table></blockquote>This string is reset to an empty string when a comparison is started.</div></div><div class=CToolTip id="tt1188"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand uvm_mem_mam::alloc_mode_e mode
</td></tr></table></blockquote>Region allocation mode</div></div><div class=CToolTip id="tt1189"><div class=CVariable>The block to be tested. </div></div><div class=CToolTip id="tt1190"><div class=CVariable>The block to be tested. </div></div><div class=CToolTip id="tt1191"><div class=CVariable>The block to be tested. </div></div><div class=CToolTip id="tt1192"><div class=CVariable>The block to be tested. </div></div><div class=CToolTip id="tt1193"><div class=CVariable>The block to be tested. </div></div><div class=CToolTip id="tt1194"><div class=CVariable>The block to be tested. </div></div><div class=CToolTip id="tt1195"><div class=CVariable>The block to be tested</div></div><div class=CToolTip id="tt1196"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_reg_block model
</td></tr></table></blockquote>Block abstraction this sequence executes on, defined only when this sequence is a user-defined test sequence.</div></div><div class=CToolTip id="tt1197"><div class=CGeneric>diverge from the specification that was used to create it.</div></div><!--END_ND_TOOLTIPS-->
</div><!--Index-->
<script language=JavaScript><!--
if (browserType) {if (browserVer) {document.write("</div>"); }document.write("</div>");}// --></script></body></html>