| <!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN" "http://www.w3.org/TR/REC-html40/loose.dtd"> |
| |
| <html><head><title>Index</title><link rel="stylesheet" type="text/css" href="../styles/main.css"><script language=JavaScript src="../javascript/main.js"></script></head><body class="FramedIndexPage" onLoad="NDOnLoad()"><script language=JavaScript><!-- |
| if (browserType) {document.write("<div class=" + browserType + ">");if (browserVer) {document.write("<div class=" + browserVer + ">"); }}// --></script> |
| |
| |
| |
| |
| <!-- Generated by Natural Docs, version Development Release 01-12-2008 (1.35 base) --> |
| <!-- http://www.naturaldocs.org --> |
| |
| <!-- saved from url=(0026)http://www.naturaldocs.org --> |
| |
| |
| |
| |
| |
| <div id=Index><div class=IPageTitle>Index</div><div class=INavigationBar><a href="General.html#Symbols">$#!</a> · <a href="General.html#Numbers">0-9</a> · <a href="General.html#A">A</a> · <a href="General.html#B">B</a> · <a href="General2.html#C">C</a> · <a href="General2.html#D">D</a> · <a href="General2.html#E">E</a> · <a href="General3.html#F">F</a> · <a href="General4.html#G">G</a> · <a href="General5.html#H">H</a> · <a href="General5.html#I">I</a> · <a href="General5.html#J">J</a> · <a href="General5.html#K">K</a> · <a href="General5.html#L">L</a> · <a href="General5.html#M">M</a> · <a href="#N">N</a> · <a href="#O">O</a> · <a href="General7.html#P">P</a> · <a href="General7.html#Q">Q</a> · <a href="General8.html#R">R</a> · <a href="General9.html#S">S</a> · <a href="General10.html#T">T</a> · <a href="General11.html#U">U</a> · <a href="General12.html#V">V</a> · <a href="General12.html#W">W</a> · X · Y · Z</div><table border=0 cellspacing=0 cellpadding=0> |
| <tr><td class=IHeading id=IFirstHeading><a name="N"></a>N</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix> </td><td class=IEntry><span class=ISymbol>n_bits</span><div class=ISubIndex><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_bus_op.n_bits" id=link1202 onMouseOver="ShowTip(event, 'tt1198', 'link1202')" onMouseOut="HideTip('tt1198')" class=IParent>uvm_reg_bus_op</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>n_bytes</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam_cfg.n_bytes" id=link1203 onMouseOver="ShowTip(event, 'tt1199', 'link1203')" onMouseOut="HideTip('tt1199')" class=IParent>uvm_mem_mam_cfg</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>nb_transport</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2).nb_transport" id=link1204 onMouseOver="ShowTip(event, 'tt1200', 'link1204')" onMouseOut="HideTip('tt1200')" class=IParent>uvm_tlm_if_base#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>nb_transport_bw</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#uvm_tlm_if.nb_transport_bw" id=link1205 onMouseOver="ShowTip(event, 'tt1201', 'link1205')" onMouseOut="HideTip('tt1201')" class=IParent>uvm_tlm_if</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>nb_transport_fw</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_ifs-svh.html#uvm_tlm_if.nb_transport_fw" id=link1206 onMouseOver="ShowTip(event, 'tt1202', 'link1206')" onMouseOut="HideTip('tt1202')" class=IParent>uvm_tlm_if</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>needs_update</span><div class=ISubIndex><a href="../files/reg/uvm_reg-svh.html#uvm_reg.needs_update" id=link1207 onMouseOver="ShowTip(event, 'tt1203', 'link1207')" onMouseOut="HideTip('tt1203')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.needs_update" id=link1208 onMouseOver="ShowTip(event, 'tt1204', 'link1208')" onMouseOut="HideTip('tt1204')" class=IParent>uvm_reg_block</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.needs_update" id=link1209 onMouseOver="ShowTip(event, 'tt1205', 'link1209')" onMouseOut="HideTip('tt1205')" class=IParent>uvm_reg_field</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>new</span><div class=ISubIndex><a href="../files/tlm1/uvm_exports-svh.html#uvm_*_export#(REQ,RSP).new" id=link1210 onMouseOver="ShowTip(event, 'tt1206', 'link1210')" onMouseOut="HideTip('tt1206')" class=IParent>uvm_*_export#(REQ,RSP)</a><a href="../files/tlm1/uvm_exports-svh.html#uvm_*_export#(T).new" id=link1211 onMouseOver="ShowTip(event, 'tt1207', 'link1211')" onMouseOut="HideTip('tt1207')" class=IParent>uvm_*_export#(T)</a><a href="../files/tlm1/uvm_imps-svh.html#uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP).new" id=link1212 onMouseOver="ShowTip(event, 'tt1208', 'link1212')" onMouseOut="HideTip('tt1208')" class=IParent>uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)</a><a href="../files/tlm1/uvm_imps-svh.html#uvm_*_imp#(T,IMP).new" id=link1213 onMouseOver="ShowTip(event, 'tt1209', 'link1213')" onMouseOut="HideTip('tt1209')" class=IParent>uvm_*_imp#(T,IMP)</a><a href="../files/tlm1/uvm_ports-svh.html#uvm_*_port#(REQ,RSP).new" id=link1214 onMouseOver="ShowTip(event, 'tt1210', 'link1214')" onMouseOut="HideTip('tt1210')" class=IParent>uvm_*_port#(REQ,RSP)</a><a href="../files/tlm1/uvm_ports-svh.html#uvm_*_port#(T).new" id=link1215 onMouseOver="ShowTip(event, 'tt1211', 'link1215')" onMouseOut="HideTip('tt1211')" class=IParent>uvm_*_port#(T)</a><a href="../files/comps/uvm_agent-svh.html#uvm_agent.new" id=link1216 onMouseOver="ShowTip(event, 'tt1212', 'link1216')" onMouseOut="HideTip('tt1212')" class=IParent>uvm_agent</a><a href="../files/comps/uvm_algorithmic_comparator-svh.html#uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER).new" id=link1217 onMouseOver="ShowTip(event, 'tt1213', 'link1217')" onMouseOut="HideTip('tt1213')" class=IParent>uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)</a><a href="../files/tlm1/uvm_analysis_port-svh.html#uvm_analysis_export.new" id=link1218 onMouseOver="ShowTip(event, 'tt1214', 'link1218')" onMouseOut="HideTip('tt1214')" class=IParent>uvm_analysis_export</a><a href="../files/base/uvm_barrier-svh.html#uvm_barrier.new" id=link1219 onMouseOver="ShowTip(event, 'tt1215', 'link1219')" onMouseOut="HideTip('tt1215')" class=IParent>uvm_barrier</a><a href="../files/base/uvm_bottomup_phase-svh.html#uvm_bottomup_phase.new" id=link1220 onMouseOver="ShowTip(event, 'tt1216', 'link1220')" onMouseOut="HideTip('tt1216')" class=IParent>uvm_bottomup_phase</a><a href="../files/comps/uvm_pair-svh.html#uvm_built_in_pair#(T1,T2).new" id=link1221 onMouseOver="ShowTip(event, 'tt1217', 'link1221')" onMouseOut="HideTip('tt1217')" class=IParent>uvm_built_in_pair#(T1,T2)</a><a href="../files/base/uvm_callback-svh.html#uvm_callback.new" id=link1222 onMouseOver="ShowTip(event, 'tt1218', 'link1222')" onMouseOut="HideTip('tt1218')" class=IParent>uvm_callback</a><a href="../files/base/uvm_callback-svh.html#uvm_callback_iter.new" id=link1223 onMouseOver="ShowTip(event, 'tt1219', 'link1223')" onMouseOut="HideTip('tt1219')" class=IParent>uvm_callback_iter</a><a href="../files/base/uvm_links-svh.html#uvm_cause_effect_link.new" id=link1224 onMouseOver="ShowTip(event, 'tt1220', 'link1224')" onMouseOut="HideTip('tt1220')" class=IParent>uvm_cause_effect_link</a><a href="../files/comps/uvm_pair-svh.html#uvm_class_pair#(T1,T2).new" id=link1225 onMouseOver="ShowTip(event, 'tt1221', 'link1225')" onMouseOut="HideTip('tt1221')" class=IParent>uvm_class_pair#(T1,T2)</a><a href="../files/base/uvm_component-svh.html#uvm_component.new" id=link1226 onMouseOver="ShowTip(event, 'tt1222', 'link1226')" onMouseOut="HideTip('tt1222')" class=IParent>uvm_component</a><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.new" id=link1227 onMouseOver="ShowTip(event, 'tt1223', 'link1227')" onMouseOut="HideTip('tt1223')" class=IParent>uvm_default_report_server</a><a href="../files/base/uvm_domain-svh.html#uvm_domain.new" id=link1228 onMouseOver="ShowTip(event, 'tt1224', 'link1228')" onMouseOut="HideTip('tt1224')" class=IParent>uvm_domain</a><a href="../files/comps/uvm_driver-svh.html#uvm_driver#(REQ,RSP).new" id=link1229 onMouseOver="ShowTip(event, 'tt1225', 'link1229')" onMouseOut="HideTip('tt1225')" class=IParent>uvm_driver#(REQ,RSP)</a><a href="../files/comps/uvm_env-svh.html#uvm_env.new" id=link1230 onMouseOver="ShowTip(event, 'tt1226', 'link1230')" onMouseOut="HideTip('tt1226')" class=IParent>uvm_env</a><a href="../files/base/uvm_event-svh.html#uvm_event#(T).new" id=link1231 onMouseOver="ShowTip(event, 'tt1227', 'link1231')" onMouseOut="HideTip('tt1227')" class=IParent>uvm_event#(T)</a><a href="../files/base/uvm_event-svh.html#uvm_event_base.new" id=link1232 onMouseOver="ShowTip(event, 'tt1228', 'link1232')" onMouseOut="HideTip('tt1228')" class=IParent>uvm_event_base</a><a href="../files/base/uvm_event_callback-svh.html#uvm_event_callback.new" id=link1233 onMouseOver="ShowTip(event, 'tt1229', 'link1233')" onMouseOut="HideTip('tt1229')" class=IParent>uvm_event_callback</a><a href="../files/dap/uvm_get_to_lock_dap-svh.html#uvm_get_to_lock_dap.new" id=link1234 onMouseOver="ShowTip(event, 'tt1230', 'link1234')" onMouseOut="HideTip('tt1230')" class=IParent>uvm_get_to_lock_dap</a><a href="../files/base/uvm_heartbeat-svh.html#uvm_heartbeat.new" id=link1235 onMouseOver="ShowTip(event, 'tt1231', 'link1235')" onMouseOut="HideTip('tt1231')" class=IParent>uvm_heartbeat</a><a href="../files/base/uvm_printer-svh.html#uvm_line_printer.new" id=link1236 onMouseOver="ShowTip(event, 'tt1232', 'link1236')" onMouseOut="HideTip('tt1232')" class=IParent>uvm_line_printer</a><a href="../files/base/uvm_links-svh.html#uvm_link_base.new" id=link1237 onMouseOver="ShowTip(event, 'tt1233', 'link1237')" onMouseOut="HideTip('tt1233')" class=IParent>uvm_link_base</a><a href="../files/reg/uvm_mem-svh.html#uvm_mem.new" id=link1238 onMouseOver="ShowTip(event, 'tt1234', 'link1238')" onMouseOut="HideTip('tt1234')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam.new" id=link1239 onMouseOver="ShowTip(event, 'tt1235', 'link1239')" onMouseOut="HideTip('tt1235')" class=IParent>uvm_mem_mam</a><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#uvm_mem_single_walk_seq.new" id=link1240 onMouseOver="ShowTip(event, 'tt1236', 'link1240')" onMouseOut="HideTip('tt1236')" class=IParent>uvm_mem_single_walk_seq</a><a href="../files/comps/uvm_monitor-svh.html#uvm_monitor.new" id=link1241 onMouseOver="ShowTip(event, 'tt1237', 'link1241')" onMouseOut="HideTip('tt1237')" class=IParent>uvm_monitor</a><a href="../files/base/uvm_object-svh.html#uvm_object.new" id=link1242 onMouseOver="ShowTip(event, 'tt1238', 'link1242')" onMouseOut="HideTip('tt1238')" class=IParent>uvm_object</a><a href="../files/base/uvm_pool-svh.html#uvm_object_string_pool#(T).new" id=link1243 onMouseOver="ShowTip(event, 'tt1239', 'link1243')" onMouseOut="HideTip('tt1239')" class=IParent>uvm_object_string_pool#(T)</a><a href="../files/base/uvm_objection-svh.html#uvm_objection.new" id=link1244 onMouseOver="ShowTip(event, 'tt1240', 'link1244')" onMouseOut="HideTip('tt1240')" class=IParent>uvm_objection</a><a href="../files/base/uvm_links-svh.html#uvm_parent_child_link.new" id=link1245 onMouseOver="ShowTip(event, 'tt1241', 'link1245')" onMouseOut="HideTip('tt1241')" class=IParent>uvm_parent_child_link</a><a href="../files/base/uvm_phase-svh.html#uvm_phase.new" id=link1246 onMouseOver="ShowTip(event, 'tt1242', 'link1246')" onMouseOut="HideTip('tt1242')" class=IParent>uvm_phase</a><a href="../files/base/uvm_phase-svh.html#uvm_phase_cb.new" id=link1247 onMouseOver="ShowTip(event, 'tt1243', 'link1247')" onMouseOut="HideTip('tt1243')" class=IParent>uvm_phase_cb</a><a href="../files/base/uvm_pool-svh.html#uvm_pool#(KEY,T).new" id=link1248 onMouseOver="ShowTip(event, 'tt1244', 'link1248')" onMouseOut="HideTip('tt1244')" class=IParent>uvm_pool#(KEY,T)</a><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF).new" id=link1249 onMouseOver="ShowTip(event, 'tt1245', 'link1249')" onMouseOut="HideTip('tt1245')" class=IParent>uvm_port_base#(IF)</a><a href="../files/comps/uvm_push_driver-svh.html#uvm_push_driver#(REQ,RSP).new" id=link1250 onMouseOver="ShowTip(event, 'tt1246', 'link1250')" onMouseOut="HideTip('tt1246')" class=IParent>uvm_push_driver#(REQ,RSP)</a><a href="../files/seq/uvm_push_sequencer-svh.html#uvm_push_sequencer#(REQ,RSP).new" id=link1251 onMouseOver="ShowTip(event, 'tt1247', 'link1251')" onMouseOut="HideTip('tt1247')" class=IParent>uvm_push_sequencer#(REQ,RSP)</a><a href="../files/base/uvm_queue-svh.html#uvm_queue#(T).new" id=link1252 onMouseOver="ShowTip(event, 'tt1248', 'link1252')" onMouseOut="HideTip('tt1248')" class=IParent>uvm_queue#(T)</a><a href="../files/comps/uvm_random_stimulus-svh.html#uvm_random_stimulus#(T).new" id=link1253 onMouseOver="ShowTip(event, 'tt1249', 'link1253')" onMouseOut="HideTip('tt1249')" class=IParent>uvm_random_stimulus#(T)</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.new" id=link1254 onMouseOver="ShowTip(event, 'tt1250', 'link1254')" onMouseOut="HideTip('tt1250')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_adapter-svh.html#uvm_reg_adapter.new" id=link1255 onMouseOver="ShowTip(event, 'tt1251', 'link1255')" onMouseOut="HideTip('tt1251')" class=IParent>uvm_reg_adapter</a><a href="../files/reg/uvm_reg_backdoor-svh.html#uvm_reg_backdoor.new" id=link1256 onMouseOver="ShowTip(event, 'tt1252', 'link1256')" onMouseOut="HideTip('tt1252')" class=IParent>uvm_reg_backdoor</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.new" id=link1257 onMouseOver="ShowTip(event, 'tt1253', 'link1257')" onMouseOut="HideTip('tt1253')" class=IParent>uvm_reg_block</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.new" id=link1258 onMouseOver="ShowTip(event, 'tt1254', 'link1258')" onMouseOut="HideTip('tt1254')" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.new" id=link1259 onMouseOver="ShowTip(event, 'tt1255', 'link1259')" onMouseOut="HideTip('tt1255')" class=IParent>uvm_reg_fifo</a><a href="../files/reg/uvm_reg_file-svh.html#uvm_reg_file.new" id=link1260 onMouseOver="ShowTip(event, 'tt1256', 'link1260')" onMouseOut="HideTip('tt1256')" class=IParent>uvm_reg_file</a><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_frontdoor.new" id=link1261 onMouseOver="ShowTip(event, 'tt1257', 'link1261')" onMouseOut="HideTip('tt1257')" class=IParent>uvm_reg_frontdoor</a><a href="../files/reg/uvm_reg_indirect-svh.html#uvm_reg_indirect_data.new" id=link1262 onMouseOver="ShowTip(event, 'tt1258', 'link1262')" onMouseOut="HideTip('tt1258')" class=IParent>uvm_reg_indirect_data</a><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item.new" id=link1263 onMouseOver="ShowTip(event, 'tt1259', 'link1263')" onMouseOut="HideTip('tt1259')" class=IParent>uvm_reg_item</a><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_map.new" id=link1264 onMouseOver="ShowTip(event, 'tt1260', 'link1264')" onMouseOut="HideTip('tt1260')" class=IParent>uvm_reg_map</a><a href="../files/reg/uvm_reg_predictor-svh.html#uvm_reg_predictor.new" id=link1265 onMouseOver="ShowTip(event, 'tt1261', 'link1265')" onMouseOut="HideTip('tt1261')" class=IParent>uvm_reg_predictor</a><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence.new" id=link1266 onMouseOver="ShowTip(event, 'tt1262', 'link1266')" onMouseOut="HideTip('tt1262')" class=IParent>uvm_reg_sequence</a><a href="../files/base/uvm_links-svh.html#uvm_related_link.new" id=link1267 onMouseOver="ShowTip(event, 'tt1263', 'link1267')" onMouseOut="HideTip('tt1263')" class=IParent>uvm_related_link</a><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.new" id=link1268 onMouseOver="ShowTip(event, 'tt1264', 'link1268')" onMouseOut="HideTip('tt1264')" class=IParent>uvm_report_catcher</a><a href="../files/base/uvm_report_handler-svh.html#uvm_report_handler.new" id=link1269 onMouseOver="ShowTip(event, 'tt1265', 'link1269')" onMouseOut="HideTip('tt1265')" class=IParent>uvm_report_handler</a><a href="../files/base/uvm_report_message-svh.html#uvm_report_message.new" id=link1270 onMouseOver="ShowTip(event, 'tt1266', 'link1270')" onMouseOut="HideTip('tt1266')" class=IParent>uvm_report_message</a><a href="../files/base/uvm_report_message-svh.html#uvm_report_message_element_container.new" id=link1271 onMouseOver="ShowTip(event, 'tt1267', 'link1271')" onMouseOut="HideTip('tt1267')" class=IParent>uvm_report_message_element_container</a><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.new" id=link1272 onMouseOver="ShowTip(event, 'tt1268', 'link1272')" onMouseOut="HideTip('tt1268')" class=IParent>uvm_report_object</a><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.new" id=link1273 onMouseOver="ShowTip(event, 'tt1269', 'link1273')" onMouseOut="HideTip('tt1269')" class=IParent>uvm_resource_base</a><a href="../files/comps/uvm_scoreboard-svh.html#uvm_scoreboard.new" id=link1274 onMouseOver="ShowTip(event, 'tt1270', 'link1274')" onMouseOut="HideTip('tt1270')" class=IParent>uvm_scoreboard</a><a href="../files/tlm1/uvm_sqr_connections-svh.html#uvm_seq_item_pull_imp#(REQ,RSP,IMP).new" class=IParent>uvm_seq_item_pull_imp#(REQ,RSP,IMP)</a><a href="../files/seq/uvm_sequence-svh.html#uvm_sequence#(REQ,RSP).new" id=link1275 onMouseOver="ShowTip(event, 'tt1271', 'link1275')" onMouseOut="HideTip('tt1271')" class=IParent>uvm_sequence#(REQ,RSP)</a><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.new" id=link1276 onMouseOver="ShowTip(event, 'tt1272', 'link1276')" onMouseOut="HideTip('tt1272')" class=IParent>uvm_sequence_base</a><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.new" id=link1277 onMouseOver="ShowTip(event, 'tt1273', 'link1277')" onMouseOut="HideTip('tt1273')" class=IParent>uvm_sequence_item</a><a href="../files/seq/uvm_sequence_library-svh.html#uvm_sequence_library.new" id=link1278 onMouseOver="ShowTip(event, 'tt1274', 'link1278')" onMouseOut="HideTip('tt1274')" class=IParent>uvm_sequence_library</a><a href="../files/seq/uvm_sequencer-svh.html#uvm_sequencer#(REQ,RSP).new" id=link1279 onMouseOver="ShowTip(event, 'tt1275', 'link1279')" onMouseOut="HideTip('tt1275')" class=IParent>uvm_sequencer#(REQ,RSP)</a><a href="../files/seq/uvm_sequencer_base-svh.html#uvm_sequencer_base.new" id=link1280 onMouseOver="ShowTip(event, 'tt1276', 'link1280')" onMouseOut="HideTip('tt1276')" class=IParent>uvm_sequencer_base</a><a href="../files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP).new" id=link1281 onMouseOver="ShowTip(event, 'tt1277', 'link1281')" onMouseOut="HideTip('tt1277')" class=IParent>uvm_sequencer_param_base#(REQ,RSP)</a><a href="../files/dap/uvm_set_before_get_dap-svh.html#uvm_set_before_get_dap.new" id=link1282 onMouseOver="ShowTip(event, 'tt1278', 'link1282')" onMouseOut="HideTip('tt1278')" class=IParent>uvm_set_before_get_dap</a><a href="../files/dap/uvm_set_get_dap_base-svh.html#uvm_set_get_dap_base.new" id=link1283 onMouseOver="ShowTip(event, 'tt1279', 'link1283')" onMouseOut="HideTip('tt1279')" class=IParent>uvm_set_get_dap_base</a><a href="../files/dap/uvm_simple_lock_dap-svh.html#uvm_simple_lock_dap.new" id=link1284 onMouseOver="ShowTip(event, 'tt1280', 'link1284')" onMouseOut="HideTip('tt1280')" class=IParent>uvm_simple_lock_dap</a><a href="../files/comps/uvm_subscriber-svh.html#uvm_subscriber.new" id=link1285 onMouseOver="ShowTip(event, 'tt1281', 'link1285')" onMouseOut="HideTip('tt1281')" class=IParent>uvm_subscriber</a><a href="../files/base/uvm_printer-svh.html#uvm_table_printer.new" id=link1286 onMouseOver="ShowTip(event, 'tt1282', 'link1286')" onMouseOut="HideTip('tt1282')" class=IParent>uvm_table_printer</a><a href="../files/base/uvm_task_phase-svh.html#uvm_task_phase.new" id=link1287 onMouseOver="ShowTip(event, 'tt1283', 'link1287')" onMouseOut="HideTip('tt1283')" class=IParent>uvm_task_phase</a><a href="../files/comps/uvm_test-svh.html#uvm_test.new" id=link1288 onMouseOver="ShowTip(event, 'tt1284', 'link1288')" onMouseOut="HideTip('tt1284')" class=IParent>uvm_test</a><a href="../files/base/uvm_recorder-svh.html#uvm_text_recorder.new" id=link1289 onMouseOver="ShowTip(event, 'tt1285', 'link1289')" onMouseOut="HideTip('tt1285')" class=IParent>uvm_text_recorder</a><a href="../files/base/uvm_tr_database-svh.html#uvm_text_tr_database.new" id=link1290 onMouseOver="ShowTip(event, 'tt1286', 'link1290')" onMouseOut="HideTip('tt1286')" class=IParent>uvm_text_tr_database</a><a href="../files/base/uvm_tr_stream-svh.html#uvm_text_tr_stream.new" id=link1291 onMouseOver="ShowTip(event, 'tt1287', 'link1291')" onMouseOut="HideTip('tt1287')" class=IParent>uvm_text_tr_stream</a><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_analysis_fifo#(T).new" id=link1292 onMouseOver="ShowTip(event, 'tt1288', 'link1292')" onMouseOut="HideTip('tt1288')" class=IParent>uvm_tlm_analysis_fifo#(T)</a><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_initiator_socket.new" id=link1293 onMouseOver="ShowTip(event, 'tt1289', 'link1293')" onMouseOut="HideTip('tt1289')" class=IParent>uvm_tlm_b_initiator_socket</a><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_b_target_socket.new" id=link1294 onMouseOver="ShowTip(event, 'tt1290', 'link1294')" onMouseOut="HideTip('tt1290')" class=IParent>uvm_tlm_b_target_socket</a><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_extension.new" id=link1295 onMouseOver="ShowTip(event, 'tt1291', 'link1295')" onMouseOut="HideTip('tt1291')" class=IParent>uvm_tlm_extension</a><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_extension_base.new" id=link1296 onMouseOver="ShowTip(event, 'tt1292', 'link1296')" onMouseOut="HideTip('tt1292')" class=IParent>uvm_tlm_extension_base</a><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_fifo#(T).new" id=link1297 onMouseOver="ShowTip(event, 'tt1293', 'link1297')" onMouseOut="HideTip('tt1293')" class=IParent>uvm_tlm_fifo#(T)</a><a href="../files/tlm1/uvm_tlm_fifo_base-svh.html#uvm_tlm_fifo_base#(T).new" id=link1298 onMouseOver="ShowTip(event, 'tt1294', 'link1298')" onMouseOut="HideTip('tt1294')" class=IParent>uvm_tlm_fifo_base#(T)</a><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.new" id=link1299 onMouseOver="ShowTip(event, 'tt1295', 'link1299')" onMouseOut="HideTip('tt1295')" class=IParent>uvm_tlm_generic_payload</a><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_initiator_socket.new" id=link1300 onMouseOver="ShowTip(event, 'tt1296', 'link1300')" onMouseOut="HideTip('tt1296')" class=IParent>uvm_tlm_nb_initiator_socket</a><a href="../files/tlm2/uvm_tlm2_sockets-svh.html#uvm_tlm_nb_target_socket.new" id=link1301 onMouseOver="ShowTip(event, 'tt1297', 'link1301')" onMouseOut="HideTip('tt1297')" class=IParent>uvm_tlm_nb_target_socket</a><a href="../files/tlm2/uvm_tlm2_exports-svh.html#uvm_tlm_nb_transport_bw_export.new" class=IParent>uvm_tlm_nb_transport_bw_export</a><a href="../files/tlm2/uvm_tlm2_ports-svh.html#uvm_tlm_nb_transport_bw_port.new" class=IParent>uvm_tlm_nb_transport_bw_port</a><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_req_rsp_channel#(REQ,RSP).new" id=link1302 onMouseOver="ShowTip(event, 'tt1298', 'link1302')" onMouseOut="HideTip('tt1298')" class=IParent>uvm_tlm_req_rsp_channel#(REQ,RSP)</a><a href="../files/tlm2/uvm_tlm2_time-svh.html#uvm_tlm_time.new" id=link1303 onMouseOver="ShowTip(event, 'tt1299', 'link1303')" onMouseOut="HideTip('tt1299')" class=IParent>uvm_tlm_time</a><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_transport_channel#(REQ,RSP).new" id=link1304 onMouseOver="ShowTip(event, 'tt1300', 'link1304')" onMouseOut="HideTip('tt1300')" class=IParent>uvm_tlm_transport_channel#(REQ,RSP)</a><a href="../files/base/uvm_topdown_phase-svh.html#uvm_topdown_phase.new" id=link1305 onMouseOver="ShowTip(event, 'tt1301', 'link1305')" onMouseOut="HideTip('tt1301')" class=IParent>uvm_topdown_phase</a><a href="../files/base/uvm_tr_database-svh.html#uvm_tr_database.new" id=link1306 onMouseOver="ShowTip(event, 'tt1302', 'link1306')" onMouseOut="HideTip('tt1302')" class=IParent>uvm_tr_database</a><a href="../files/base/uvm_tr_stream-svh.html#uvm_tr_stream.new" id=link1307 onMouseOver="ShowTip(event, 'tt1303', 'link1307')" onMouseOut="HideTip('tt1303')" class=IParent>uvm_tr_stream</a><a href="../files/base/uvm_transaction-svh.html#uvm_transaction.new" id=link1308 onMouseOver="ShowTip(event, 'tt1304', 'link1308')" onMouseOut="HideTip('tt1304')" class=IParent>uvm_transaction</a><a href="../files/base/uvm_printer-svh.html#uvm_tree_printer.new" id=link1309 onMouseOver="ShowTip(event, 'tt1305', 'link1309')" onMouseOut="HideTip('tt1305')" class=IParent>uvm_tree_printer</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.new" id=link1310 onMouseOver="ShowTip(event, 'tt1306', 'link1310')" onMouseOut="HideTip('tt1306')" class=IParent>uvm_vreg</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field.new" id=link1311 onMouseOver="ShowTip(event, 'tt1307', 'link1311')" onMouseOut="HideTip('tt1307')" class=IParent>uvm_vreg_field</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>new_report_message</span><div class=ISubIndex><a href="../files/base/uvm_report_message-svh.html#uvm_report_message.new_report_message" id=link1312 onMouseOver="ShowTip(event, 'tt1308', 'link1312')" onMouseOut="HideTip('tt1308')" class=IParent>uvm_report_message</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>next</span><div class=ISubIndex><a href="../files/base/uvm_callback-svh.html#uvm_callback_iter.next" id=link1313 onMouseOver="ShowTip(event, 'tt1309', 'link1313')" onMouseOut="HideTip('tt1309')" class=IParent>uvm_callback_iter</a><a href="../files/base/uvm_pool-svh.html#uvm_pool#(KEY,T).next" id=link1314 onMouseOver="ShowTip(event, 'tt1310', 'link1314')" onMouseOut="HideTip('tt1310')" class=IParent>uvm_pool#(KEY,T)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Non-blocking get</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2).Non-blocking_get" class=IParent>uvm_tlm_if_base#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Non-blocking peek</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2).Non-blocking_peek" class=IParent>uvm_tlm_if_base#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Non-blocking put</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2).Non-blocking_put" class=IParent>uvm_tlm_if_base#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Non-blocking transport</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2).Non-blocking_transport" class=IParent>uvm_tlm_if_base#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Notification</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.Notification" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix> </td><td class=IEntry><span class=ISymbol>num</span><div class=ISubIndex><a href="../files/base/uvm_pool-svh.html#uvm_pool#(KEY,T).num" id=link1315 onMouseOver="ShowTip(event, 'tt1311', 'link1315')" onMouseOut="HideTip('tt1311')" class=IParent>uvm_pool#(KEY,T)</a></div></td></tr> |
| <tr><td class=IHeading><a name="O"></a>O</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix> </td><td class=IEntry><span class=ISymbol>Objection Control</span><div class=ISubIndex><a href="../files/base/uvm_objection-svh.html#uvm_objection.Objection_Control" class=IParent>uvm_objection</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Objection Interface</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.Objection_Interface" id=link1316 onMouseOver="ShowTip(event, 'tt1312', 'link1316')" onMouseOut="HideTip('tt1312')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_objection-svh.html#Objection_Mechanism" id=link1317 onMouseOver="ShowTip(event, 'tt1313', 'link1317')" onMouseOut="HideTip('tt1313')" class=ISymbol>Objection Mechanism</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Objection Status</span><div class=ISubIndex><a href="../files/base/uvm_objection-svh.html#uvm_objection.Objection_Status" class=IParent>uvm_objection</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#Objections" class=ISymbol>Objections</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>oct_radix</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.oct_radix" id=link1318 onMouseOver="ShowTip(event, 'tt1314', 'link1318')" onMouseOut="HideTip('tt1314')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>offset</span><div class=ISubIndex><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item.offset" id=link1319 onMouseOver="ShowTip(event, 'tt1315', 'link1319')" onMouseOut="HideTip('tt1315')" class=IParent>uvm_reg_item</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>open_db</span><div class=ISubIndex><a href="../files/base/uvm_tr_database-svh.html#uvm_tr_database.open_db" id=link1320 onMouseOver="ShowTip(event, 'tt1316', 'link1320')" onMouseOut="HideTip('tt1316')" class=IParent>uvm_tr_database</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>open_recorder</span><div class=ISubIndex><a href="../files/base/uvm_tr_stream-svh.html#uvm_tr_stream.open_recorder" id=link1321 onMouseOver="ShowTip(event, 'tt1317', 'link1321')" onMouseOut="HideTip('tt1317')" class=IParent>uvm_tr_stream</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>open_stream</span><div class=ISubIndex><a href="../files/base/uvm_tr_database-svh.html#uvm_tr_database.open_stream" id=link1322 onMouseOver="ShowTip(event, 'tt1318', 'link1322')" onMouseOut="HideTip('tt1318')" class=IParent>uvm_tr_database</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>order</span><div class=ISubIndex><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_transaction_order_policy.order" id=link1323 onMouseOver="ShowTip(event, 'tt1319', 'link1323')" onMouseOut="HideTip('tt1319')" class=IParent>uvm_reg_transaction_order_policy</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix> </td><td class=IEntry><span class=ISymbol>Override Configuration</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.Override_Configuration" class=IParent>uvm_report_object</a></div></td></tr></table> |
| <!--START_ND_TOOLTIPS--> |
| <div class=CToolTip id="tt1198"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>int n_bits |
| </td></tr></table></blockquote>The number of bits of uvm_reg_item::value being transferred by this transaction.</div></div><div class=CToolTip id="tt1199"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand int unsigned n_bytes |
| </td></tr></table></blockquote>Number of bytes in each memory location</div></div><div class=CToolTip id="tt1200"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=4>virtual function bit nb_transport(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>T1 </td> |
| <td class=PParameter nowrap width=100%>req,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>T2 </td> |
| <td class=PParameter nowrap width=100%>rsp</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=4>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Executes the given request and returns the response in the given output argument. </div></div><div class=CToolTip id="tt1201"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=4>virtual function uvm_tlm_sync_e nb_transport_bw(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>T </td> |
| <td class=PParameter nowrap width=100%>t,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>ref </td> |
| <td class=PType nowrap>P </td> |
| <td class=PParameter nowrap width=100%>p,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_tlm_time </td> |
| <td class=PParameter nowrap width=100%>delay</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=4>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Implementation of the backward path. </div></div><div class=CToolTip id="tt1202"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=4>virtual function uvm_tlm_sync_e nb_transport_fw(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>T </td> |
| <td class=PParameter nowrap width=100%>t,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>ref </td> |
| <td class=PType nowrap>P </td> |
| <td class=PParameter nowrap width=100%>p,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_tlm_time </td> |
| <td class=PParameter nowrap width=100%>delay</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=4>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Forward path call. </div></div><div class=CToolTip id="tt1203"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit needs_update() |
| </td></tr></table></blockquote>Returns 1 if any of the fields need updating</div></div><div class=CToolTip id="tt1204"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit needs_update() |
| </td></tr></table></blockquote>Check if DUT registers need to be written</div></div><div class=CToolTip id="tt1205"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function bit needs_update() |
| </td></tr></table></blockquote>Check if the abstract model contains different desired and mirrored values.</div></div><div class=CToolTip id="tt1206"><div class=CMethod>The <i>name</i> and <i>parent</i> are the standard uvm_component constructor arguments. </div></div><div class=CToolTip id="tt1207"><div class=CMethod>The <i>name</i> and <i>parent</i> are the standard uvm_component constructor arguments. </div></div><div class=CToolTip id="tt1208"><div class=CMethod>Creates a new bidirectional imp port with the given <i>name</i> and <i>parent</i>. </div></div><div class=CToolTip id="tt1209"><div class=CMethod>Creates a new unidirectional imp port with the given <i>name</i> and <i>parent</i>. </div></div><div class=CToolTip id="tt1210"><div class=CMethod>The <i>name</i> and <i>parent</i> are the standard uvm_component constructor arguments. </div></div><div class=CToolTip id="tt1211"><div class=CMethod>The <i>name</i> and <i>parent</i> are the standard uvm_component constructor arguments. </div></div><div class=CToolTip id="tt1212"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: <i>name</i> is the name of the instance, and <i>parent</i> is the handle to the hierarchical parent, if any.</div></div><div class=CToolTip id="tt1213"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>TRANSFORMER </td> |
| <td class=PParameter nowrap>transformer</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates an instance of a specialization of this class. </div></div><div class=CToolTip id="tt1214"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Instantiate the export.</div></div><div class=CToolTip id="tt1215"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>threshold</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new barrier object.</div></div><div class=CToolTip id="tt1216"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance of a bottom-up phase.</div></div><div class=CToolTip id="tt1217"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates an instance that holds two built-in type values. </div></div><div class=CToolTip id="tt1218"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"uvm_callback"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new uvm_callback object, giving it an optional <i>name</i>.</div></div><div class=CToolTip id="tt1219"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>T </td> |
| <td class=PParameter nowrap width=100%>obj</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new callback iterator object. </div></div><div class=CToolTip id="tt1220"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_cause_effect_link"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1221"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>T1 </td> |
| <td class=PParameter nowrap>f</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>T2 </td> |
| <td class=PParameter nowrap>s</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates an instance that holds a handle to two objects. </div></div><div class=CToolTip id="tt1222"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new component with the given leaf instance <i>name</i> and handle to its <i>parent</i>. </div></div><div class=CToolTip id="tt1223"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_report_server"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates an instance of the class.</div></div><div class=CToolTip id="tt1224"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance of a phase domain.</div></div><div class=CToolTip id="tt1225"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: <i>name</i> is the name of the instance, and <i>parent</i> is the handle to the hierarchical parent, if any.</div></div><div class=CToolTip id="tt1226"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"env",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: <i>name</i> is the name of the instance, and <i>parent</i> is the handle to the hierarchical parent, if any.</div></div><div class=CToolTip id="tt1227"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new event object.</div></div><div class=CToolTip id="tt1228"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new event object.</div></div><div class=CToolTip id="tt1229"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new callback object.</div></div><div class=CToolTip id="tt1230"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_get_to_lock_dap#(T)"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1231"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>cntxt,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_objection </td> |
| <td class=PParameter nowrap>objection</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new heartbeat instance associated with <i>cntxt</i>. </div></div><div class=CToolTip id="tt1232"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function new() |
| </td></tr></table></blockquote>Creates a new instance of <i>uvm_line_printer</i>. </div></div><div class=CToolTip id="tt1233"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_link_base"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1234"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>function new (</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>longint </td> |
| <td class=PType nowrap>unsigned </td> |
| <td class=PParameter nowrap>size,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>int </td> |
| <td class=PType nowrap>unsigned </td> |
| <td class=PParameter nowrap>n_bits,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>access</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "RW",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>has_coverage</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> UVM_NO_COVERAGE</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance and type-specific configuration</div></div><div class=CToolTip id="tt1235"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_mem_mam_cfg </td> |
| <td class=PParameter nowrap>cfg,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_mem </td> |
| <td class=PParameter nowrap>mem</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new manager instance</div></div><div class=CToolTip id="tt1236"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"uvm_mem_walk_seq"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new instance of the class with the given name.</div></div><div class=CToolTip id="tt1237"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: <i>name</i> is the name of the instance, and <i>parent</i> is the handle to the hierarchical parent, if any.</div></div><div class=CToolTip id="tt1238"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new uvm_object with the given instance <i>name</i>. </div></div><div class=CToolTip id="tt1239"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new pool with the given <i>name</i>.</div></div><div class=CToolTip id="tt1240"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new objection instance. </div></div><div class=CToolTip id="tt1241"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_parent_child_link"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1242"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"uvm_phase",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_phase_type </td> |
| <td class=PParameter nowrap>phase_type</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>UVM_PHASE_SCHEDULE,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_phase </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new phase node, with a name and a note of its type name - name of this phase type - a value in uvm_phase_type</div></div><div class=CToolTip id="tt1243"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_phase_cb"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1244"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new pool with the given <i>name</i>.</div></div><div class=CToolTip id="tt1245"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_port_type_e </td> |
| <td class=PParameter nowrap>port_type,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>min_size</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>0,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>max_size</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>1</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The first two arguments are the normal uvm_component constructor arguments.</div></div><div class=CToolTip id="tt1246"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: <i>name</i> is the name of the instance, and <i>parent</i> is the handle to the hierarchical parent, if any.</div></div><div class=CToolTip id="tt1247"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Standard component constructor that creates an instance of this class using the given <i>name</i> and <i>parent</i>, if any.</div></div><div class=CToolTip id="tt1248"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new queue with the given <i>name</i>.</div></div><div class=CToolTip id="tt1249"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new instance of a specialization of this class. </div></div><div class=CToolTip id="tt1250"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>function new (</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>int </td> |
| <td class=PType nowrap>unsigned </td> |
| <td class=PParameter nowrap>n_bits,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>has_coverage</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance and type-specific configuration</div></div><div class=CToolTip id="tt1251"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance of this type, giving it the optional <i>name</i>.</div></div><div class=CToolTip id="tt1252"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> ""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create an instance of this class</div></div><div class=CToolTip id="tt1253"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>has_coverage</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>UVM_NO_COVERAGE</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance and type-specific configuration</div></div><div class=CToolTip id="tt1254"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_reg_field"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new field instance</div></div><div class=CToolTip id="tt1255"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>function new(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "reg_fifo",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>int </td> |
| <td class=PType nowrap>unsigned </td> |
| <td class=PParameter nowrap>size,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>int </td> |
| <td class=PType nowrap>unsigned </td> |
| <td class=PParameter nowrap>n_bits,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>has_cover</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates an instance of a FIFO register having <i>size</i> elements of <i>n_bits</i> each.</div></div><div class=CToolTip id="tt1256"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance</div></div><div class=CToolTip id="tt1257"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor, new object given optional <i>name</i>.</div></div><div class=CToolTip id="tt1258"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>function new(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_reg_indirect",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>int </td> |
| <td class=PType nowrap>unsigned </td> |
| <td class=PParameter nowrap>n_bits,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>has_cover</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create an instance of this class</div></div><div class=CToolTip id="tt1259"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance of this type, giving it the optional <i>name</i>.</div></div><div class=CToolTip id="tt1260"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"uvm_reg_map"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance</div></div><div class=CToolTip id="tt1261"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance of this type, giving it the optional <i>name</i> and <i>parent</i>.</div></div><div class=CToolTip id="tt1262"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"uvm_reg_sequence_inst"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance, giving it the optional <i>name</i>.</div></div><div class=CToolTip id="tt1263"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_related_link"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1264"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_report_catcher"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new report catcher. </div></div><div class=CToolTip id="tt1265"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_report_handler"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes a new uvm_report_handler object.</div></div><div class=CToolTip id="tt1266"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_report_message"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new uvm_report_message object.</div></div><div class=CToolTip id="tt1267"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "element_container"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new uvm_report_message_element_container object</div></div><div class=CToolTip id="tt1268"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> ""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new report object with the given name. </div></div><div class=CToolTip id="tt1269"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>s</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "*"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| constructor for uvm_resource_base. </div></div><div class=CToolTip id="tt1270"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: <i>name</i> is the name of the instance, and <i>parent</i> is the handle to the hierarchical parent, if any.</div></div><div class=CToolTip id="tt1271"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_sequence"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes a new sequence object.</div></div><div class=CToolTip id="tt1272"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_sequence"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The constructor for uvm_sequence_base.</div></div><div class=CToolTip id="tt1273"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_sequence_item"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The constructor method for uvm_sequence_item.</div></div><div class=CToolTip id="tt1274"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance of this class</div></div><div class=CToolTip id="tt1275"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Standard component constructor that creates an instance of this class using the given <i>name</i> and <i>parent</i>, if any.</div></div><div class=CToolTip id="tt1276"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent.</div></div><div class=CToolTip id="tt1277"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.</div></div><div class=CToolTip id="tt1278"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_set_before_get_dap#(T)"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1279"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_set_get_dap_base#(T)"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1280"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_simple_lock_dap#(T)"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1281"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: <i>name</i> is the name of the instance, and <i>parent</i> is the handle to the hierarchical parent, if any.</div></div><div class=CToolTip id="tt1282"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function new() |
| </td></tr></table></blockquote>Creates a new instance of <i>uvm_table_printer</i>.</div></div><div class=CToolTip id="tt1283"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance of a task-based phase</div></div><div class=CToolTip id="tt1284"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: <i>name</i> is the name of the instance, and <i>parent</i> is the handle to the hierarchical parent, if any.</div></div><div class=CToolTip id="tt1285"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_text_recorder"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1286"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_text_tr_database"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1287"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_text_tr_stream"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1288"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>function new(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>string </td> |
| <td class=PType nowrap>name </td> |
| <td class=PParameter nowrap>,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This is the standard uvm_component constructor. </div></div><div class=CToolTip id="tt1289"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>parent</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Construct a new instance of this socket</div></div><div class=CToolTip id="tt1290"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>IMP </td> |
| <td class=PParameter nowrap>imp</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Construct a new instance of this socket <i>imp</i> is a reference to the class implementing the b_transport() method. </div></div><div class=CToolTip id="tt1291"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| creates a new extension object.</div></div><div class=CToolTip id="tt1292"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> ""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| </div></div><div class=CToolTip id="tt1293"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>size</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 1</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The <i>name</i> and <i>parent</i> are the normal uvm_component constructor arguments. </div></div><div class=CToolTip id="tt1294"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The <i>name</i> and <i>parent</i> are the normal uvm_component constructor arguments. </div></div><div class=CToolTip id="tt1295"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance of the generic payload. </div></div><div class=CToolTip id="tt1296"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>IMP </td> |
| <td class=PParameter nowrap>imp</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Construct a new instance of this socket <i>imp</i> is a reference to the class implementing the nb_transport_bw() method. </div></div><div class=CToolTip id="tt1297"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>IMP </td> |
| <td class=PParameter nowrap>imp</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Construct a new instance of this socket <i>imp</i> is a reference to the class implementing the nb_transport_fw() method. </div></div><div class=CToolTip id="tt1298"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>request_fifo_size</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>1,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>response_fifo_size</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>1</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The <i>name</i> and <i>parent</i> are the standard uvm_component constructor arguments. </div></div><div class=CToolTip id="tt1299"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_tlm_time",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>real </td> |
| <td class=PParameter nowrap>res</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new canonical time value.</div></div><div class=CToolTip id="tt1300"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The <i>name</i> and <i>parent</i> are the standard uvm_component constructor arguments. </div></div><div class=CToolTip id="tt1301"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance of a top-down phase</div></div><div class=CToolTip id="tt1302"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_tr_database"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1303"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"unnamed-uvm_tr_stream"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Constructor</div></div><div class=CToolTip id="tt1304"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap>initiator</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new transaction object. </div></div><div class=CToolTip id="tt1305"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function new() |
| </td></tr></table></blockquote>Creates a new instance of <i>uvm_tree_printer</i>.</div></div><div class=CToolTip id="tt1306"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=4>function new(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>int </td> |
| <td class=PType nowrap>unsigned </td> |
| <td class=PParameter nowrap width=100%>n_bits</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=4>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new instance and type-specific configuration</div></div><div class=CToolTip id="tt1307"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function new(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_vreg_field"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Create a new virtual field instance</div></div><div class=CToolTip id="tt1308"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>static function uvm_report_message new_report_message(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "uvm_report_message"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Creates a new uvm_report_message object. </div></div><div class=CToolTip id="tt1309"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function CB next() |
| </td></tr></table></blockquote>Returns the next valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object. </div></div><div class=CToolTip id="tt1310"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=4>virtual function int next (</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>ref </td> |
| <td class=PType nowrap>KEY </td> |
| <td class=PParameter nowrap width=100%>key</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=4>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Returns the key of the next item in the pool.</div></div><div class=CToolTip id="tt1311"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function int num () |
| </td></tr></table></blockquote>Returns the number of uniquely keyed items stored in the pool.</div></div><!--END_ND_TOOLTIPS--> |
| |
| |
| <!--START_ND_TOOLTIPS--> |
| <div class=CToolTip id="tt1312"><div class=CGroup>These methods provide object level hooks into the uvm_objection mechanism.</div></div><div class=CToolTip id="tt1313"><div class=CSection>The following classes define the objection mechanism and end-of-test functionality, which is based on uvm_objection.</div></div><div class=CToolTip id="tt1314"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>string oct_radix = "'o" |
| </td></tr></table></blockquote>This string should be prepended to the value of an integral type when a radix of UVM_OCT is used for the radix of the integral object.</div></div><div class=CToolTip id="tt1315"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand uvm_reg_addr_t offset |
| </td></tr></table></blockquote>For memory accesses, the offset address. </div></div><div class=CToolTip id="tt1316"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function bit open_db() |
| </td></tr></table></blockquote>Open the backend connection to the database.</div></div><div class=CToolTip id="tt1317"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function uvm_recorder open_recorder(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>time </td> |
| <td class=PParameter nowrap>open_time</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>type_name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Marks the opening of a new transaction recorder on the stream.</div></div><div class=CToolTip id="tt1318"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function uvm_tr_stream open_stream(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>scope</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>type_name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Provides a reference to a <i>stream</i> within the database.</div></div><div class=CToolTip id="tt1319"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=4>pure virtual function void order(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>ref </td> |
| <td class=PType nowrap>uvm_reg_bus_op </td> |
| <td class=PParameter nowrap width=100%>q[$]</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=4>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| the order() function may reorder the sequence of bus transactions produced by a single uvm_reg transaction (read/write). </div></div><!--END_ND_TOOLTIPS--> |
| |
| </div><!--Index--> |
| |
| |
| <script language=JavaScript><!-- |
| if (browserType) {if (browserVer) {document.write("</div>"); }document.write("</div>");}// --></script></body></html> |