blob: 36ebf9c92fccc29407f44a6736b7130ed6a533b2 [file] [log] [blame]
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN" "http://www.w3.org/TR/REC-html40/loose.dtd">
<html><head><title>Index</title><link rel="stylesheet" type="text/css" href="../styles/main.css"><script language=JavaScript src="../javascript/main.js"></script></head><body class="FramedIndexPage" onLoad="NDOnLoad()"><script language=JavaScript><!--
if (browserType) {document.write("<div class=" + browserType + ">");if (browserVer) {document.write("<div class=" + browserVer + ">"); }}// --></script>
<!-- Generated by Natural Docs, version Development Release 01-12-2008 (1.35 base) -->
<!-- http://www.naturaldocs.org -->
<!-- saved from url=(0026)http://www.naturaldocs.org -->
<div id=Index><div class=IPageTitle>Index</div><div class=INavigationBar><a href="General.html#Symbols">$#!</a> &middot; <a href="General.html#Numbers">0-9</a> &middot; <a href="General.html#A">A</a> &middot; <a href="General.html#B">B</a> &middot; <a href="General2.html#C">C</a> &middot; <a href="General2.html#D">D</a> &middot; <a href="General2.html#E">E</a> &middot; <a href="General3.html#F">F</a> &middot; <a href="General4.html#G">G</a> &middot; <a href="General5.html#H">H</a> &middot; <a href="General5.html#I">I</a> &middot; <a href="General5.html#J">J</a> &middot; <a href="General5.html#K">K</a> &middot; <a href="General5.html#L">L</a> &middot; <a href="General5.html#M">M</a> &middot; <a href="General6.html#N">N</a> &middot; <a href="General6.html#O">O</a> &middot; <a href="#P">P</a> &middot; <a href="#Q">Q</a> &middot; <a href="General8.html#R">R</a> &middot; <a href="General9.html#S">S</a> &middot; <a href="General10.html#T">T</a> &middot; <a href="General11.html#U">U</a> &middot; <a href="General12.html#V">V</a> &middot; <a href="General12.html#W">W</a> &middot; X &middot; Y &middot; Z</div><table border=0 cellspacing=0 cellpadding=0>
<tr><td class=IHeading id=IFirstHeading><a name="P"></a>P</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pack</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.pack" id=link1324 onMouseOver="ShowTip(event, 'tt1320', 'link1324')" onMouseOut="HideTip('tt1320')" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pack_bits</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.pack_bits" id=link1325 onMouseOver="ShowTip(event, 'tt1321', 'link1325')" onMouseOut="HideTip('tt1321')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pack_bytes</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.pack_bytes" id=link1326 onMouseOver="ShowTip(event, 'tt1322', 'link1326')" onMouseOut="HideTip('tt1322')" class=IParent>uvm_object</a><a href="../files/base/uvm_packer-svh.html#uvm_packer.pack_bytes" id=link1327 onMouseOver="ShowTip(event, 'tt1323', 'link1327')" onMouseOut="HideTip('tt1323')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pack_field</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.pack_field" id=link1328 onMouseOver="ShowTip(event, 'tt1324', 'link1328')" onMouseOut="HideTip('tt1324')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pack_field_int</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.pack_field_int" id=link1329 onMouseOver="ShowTip(event, 'tt1325', 'link1329')" onMouseOut="HideTip('tt1325')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pack_ints</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.pack_ints" id=link1330 onMouseOver="ShowTip(event, 'tt1326', 'link1330')" onMouseOut="HideTip('tt1326')" class=IParent>uvm_object</a><a href="../files/base/uvm_packer-svh.html#uvm_packer.pack_ints" id=link1331 onMouseOver="ShowTip(event, 'tt1327', 'link1331')" onMouseOut="HideTip('tt1327')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pack_object</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.pack_object" id=link1332 onMouseOver="ShowTip(event, 'tt1328', 'link1332')" onMouseOut="HideTip('tt1328')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pack_real</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.pack_real" id=link1333 onMouseOver="ShowTip(event, 'tt1329', 'link1333')" onMouseOut="HideTip('tt1329')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pack_string</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.pack_string" id=link1334 onMouseOver="ShowTip(event, 'tt1330', 'link1334')" onMouseOut="HideTip('tt1330')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pack_time</span><div class=ISubIndex><a href="../files/base/uvm_packer-svh.html#uvm_packer.pack_time" id=link1335 onMouseOver="ShowTip(event, 'tt1331', 'link1335')" onMouseOut="HideTip('tt1331')" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Packing</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.Packing" class=IParent>uvm_object</a><a href="../files/base/uvm_packer-svh.html#uvm_packer.Packing" class=IParent>uvm_packer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_object_defines-svh.html#Packing_Macros" id=link1336 onMouseOver="ShowTip(event, 'tt1332', 'link1336')" onMouseOut="HideTip('tt1332')" class=ISymbol>Packing Macros</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_object_defines-svh.html#Packing-No_Size_Info" class=ISymbol>Packing-No Size Info</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/macros/uvm_object_defines-svh.html#Packing-With_Size_Info" class=ISymbol>Packing-With Size Info</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pair_ap</span><div class=ISubIndex><a href="../files/comps/uvm_in_order_comparator-svh.html#uvm_in_order_comparator#(T,comp_type,convert,pair_type).pair_ap" id=link1337 onMouseOver="ShowTip(event, 'tt1333', 'link1337')" onMouseOut="HideTip('tt1333')" class=IParent>uvm_in_order_comparator#(T,comp_type,convert,pair_type)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>parent</span><div class=ISubIndex><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item.parent" id=link1338 onMouseOver="ShowTip(event, 'tt1334', 'link1338')" onMouseOut="HideTip('tt1334')" class=IParent>uvm_reg_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>parent_sequence</span><div class=ISubIndex><a href="../files/reg/uvm_reg_adapter-svh.html#uvm_reg_adapter.parent_sequence" id=link1339 onMouseOver="ShowTip(event, 'tt1335', 'link1339')" onMouseOut="HideTip('tt1335')" class=IParent>uvm_reg_adapter</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>path</span><div class=ISubIndex><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item.path" id=link1340 onMouseOver="ShowTip(event, 'tt1336', 'link1340')" onMouseOut="HideTip('tt1336')" class=IParent>uvm_reg_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>peek</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.peek" id=link1341 onMouseOver="ShowTip(event, 'tt1337', 'link1341')" onMouseOut="HideTip('tt1337')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_region.peek" id=link1342 onMouseOver="ShowTip(event, 'tt1338', 'link1342')" onMouseOut="HideTip('tt1338')" class=IParent>uvm_mem_region</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.peek" id=link1343 onMouseOver="ShowTip(event, 'tt1339', 'link1343')" onMouseOut="HideTip('tt1339')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.peek" id=link1344 onMouseOver="ShowTip(event, 'tt1340', 'link1344')" onMouseOut="HideTip('tt1340')" class=IParent>uvm_reg_field</a><a href="../files/seq/uvm_sequencer-svh.html#uvm_sequencer#(REQ,RSP).peek" id=link1345 onMouseOver="ShowTip(event, 'tt1341', 'link1345')" onMouseOut="HideTip('tt1341')" class=IParent>uvm_sequencer#(REQ,RSP)</a><a href="../files/tlm1/uvm_sqr_ifs-svh.html#uvm_sqr_if_base#(REQ,RSP).peek" id=link1346 onMouseOver="ShowTip(event, 'tt1342', 'link1346')" onMouseOut="HideTip('tt1342')" class=IParent>uvm_sqr_if_base#(REQ,RSP)</a><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2).peek" id=link1347 onMouseOver="ShowTip(event, 'tt1343', 'link1347')" onMouseOut="HideTip('tt1343')" class=IParent>uvm_tlm_if_base#(T1,T2)</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.peek" id=link1348 onMouseOver="ShowTip(event, 'tt1344', 'link1348')" onMouseOut="HideTip('tt1344')" class=IParent>uvm_vreg</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field.peek" id=link1349 onMouseOver="ShowTip(event, 'tt1345', 'link1349')" onMouseOut="HideTip('tt1345')" class=IParent>uvm_vreg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>peek_mem</span><div class=ISubIndex><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence.peek_mem" id=link1350 onMouseOver="ShowTip(event, 'tt1346', 'link1350')" onMouseOut="HideTip('tt1346')" class=IParent>uvm_reg_sequence</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>peek_reg</span><div class=ISubIndex><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence.peek_reg" id=link1351 onMouseOver="ShowTip(event, 'tt1347', 'link1351')" onMouseOut="HideTip('tt1347')" class=IParent>uvm_reg_sequence</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Phase Done Objection</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase.Phase_Done_Objection" id=link1352 onMouseOver="ShowTip(event, 'tt1348', 'link1352')" onMouseOut="HideTip('tt1348')" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>phase_ended</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.phase_ended" id=link1353 onMouseOver="ShowTip(event, 'tt1349', 'link1353')" onMouseOut="HideTip('tt1349')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>phase_ready_to_end</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.phase_ready_to_end" id=link1354 onMouseOver="ShowTip(event, 'tt1350', 'link1354')" onMouseOut="HideTip('tt1350')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>phase_started</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.phase_started" id=link1355 onMouseOver="ShowTip(event, 'tt1351', 'link1355')" onMouseOut="HideTip('tt1351')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>phase_state_change</span><div class=ISubIndex><a href="../files/base/uvm_phase-svh.html#uvm_phase_cb.phase_state_change" id=link1356 onMouseOver="ShowTip(event, 'tt1352', 'link1356')" onMouseOut="HideTip('tt1352')" class=IParent>uvm_phase_cb</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#Phasing" class=ISymbol>Phasing</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_phase-svh.html#Phasing_Definition_classes" id=link1357 onMouseOver="ShowTip(event, 'tt1353', 'link1357')" onMouseOut="HideTip('tt1353')" class=ISymbol>Phasing Definition classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/phasing-txt.html#Phasing_Implementation" id=link1358 onMouseOver="ShowTip(event, 'tt1354', 'link1358')" onMouseOut="HideTip('tt1354')" class=ISymbol>Phasing Implementation</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Phasing Interface</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.Phasing_Interface" id=link1359 onMouseOver="ShowTip(event, 'tt1355', 'link1359')" onMouseOut="HideTip('tt1355')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/phasing-txt.html#Phasing_Overview" id=link1360 onMouseOver="ShowTip(event, 'tt1356', 'link1360')" onMouseOut="HideTip('tt1356')" class=ISymbol>Phasing Overview</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>physical</span><div class=ISubIndex><a href="../files/base/uvm_comparer-svh.html#uvm_comparer.physical" id=link1361 onMouseOver="ShowTip(event, 'tt1357', 'link1361')" onMouseOut="HideTip('tt1357')" class=IParent>uvm_comparer</a><a href="../files/base/uvm_packer-svh.html#uvm_packer.physical" id=link1362 onMouseOver="ShowTip(event, 'tt1358', 'link1362')" onMouseOut="HideTip('tt1358')" class=IParent>uvm_packer</a><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.physical" id=link1363 onMouseOver="ShowTip(event, 'tt1359', 'link1363')" onMouseOut="HideTip('tt1359')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>poke</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.poke" id=link1364 onMouseOver="ShowTip(event, 'tt1360', 'link1364')" onMouseOut="HideTip('tt1360')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_region.poke" id=link1365 onMouseOver="ShowTip(event, 'tt1361', 'link1365')" onMouseOut="HideTip('tt1361')" class=IParent>uvm_mem_region</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.poke" id=link1366 onMouseOver="ShowTip(event, 'tt1362', 'link1366')" onMouseOut="HideTip('tt1362')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.poke" id=link1367 onMouseOver="ShowTip(event, 'tt1363', 'link1367')" onMouseOut="HideTip('tt1363')" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.poke" id=link1368 onMouseOver="ShowTip(event, 'tt1364', 'link1368')" onMouseOut="HideTip('tt1364')" class=IParent>uvm_vreg</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field.poke" id=link1369 onMouseOver="ShowTip(event, 'tt1365', 'link1369')" onMouseOut="HideTip('tt1365')" class=IParent>uvm_vreg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>poke_mem</span><div class=ISubIndex><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence.poke_mem" id=link1370 onMouseOver="ShowTip(event, 'tt1366', 'link1370')" onMouseOut="HideTip('tt1366')" class=IParent>uvm_reg_sequence</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>poke_reg</span><div class=ISubIndex><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence.poke_reg" id=link1371 onMouseOver="ShowTip(event, 'tt1367', 'link1371')" onMouseOut="HideTip('tt1367')" class=IParent>uvm_reg_sequence</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>policy</span><div class=ISubIndex><a href="../files/base/uvm_comparer-svh.html#uvm_comparer.policy" id=link1372 onMouseOver="ShowTip(event, 'tt1368', 'link1372')" onMouseOut="HideTip('tt1368')" class=IParent>uvm_comparer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Policy Classes</span><div class=ISubIndex><a href="../files/comps/uvm_policies-svh.html#Policy_Classes" id=link1373 onMouseOver="ShowTip(event, 'tt1369', 'link1373')" onMouseOut="HideTip('tt1369')" class=IFile>comps/<span class=HB> </span>uvm_policies.svh</a><a href="../files/overviews/policies-txt.html#Policy_Classes" id=link1374 onMouseOver="ShowTip(event, 'tt1369', 'link1374')" onMouseOut="HideTip('tt1369')" class=IFile>overviews/<span class=HB> </span>policies.txt</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_pool-svh.html#Pool_Classes" id=link1375 onMouseOver="ShowTip(event, 'tt1370', 'link1375')" onMouseOut="HideTip('tt1370')" class=ISymbol>Pool Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pop_back</span><div class=ISubIndex><a href="../files/base/uvm_queue-svh.html#uvm_queue#(T).pop_back" id=link1376 onMouseOver="ShowTip(event, 'tt1371', 'link1376')" onMouseOut="HideTip('tt1371')" class=IParent>uvm_queue#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pop_front</span><div class=ISubIndex><a href="../files/base/uvm_queue-svh.html#uvm_queue#(T).pop_front" id=link1377 onMouseOver="ShowTip(event, 'tt1372', 'link1377')" onMouseOut="HideTip('tt1372')" class=IParent>uvm_queue#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_port_base-svh.html#Port_Base_Classes" class=ISymbol>Port Base Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/base/uvm_object_globals-svh.html#Port_Type" class=ISymbol>Port Type</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Ports</span><div class=ISubIndex><a href="../files/comps/uvm_algorithmic_comparator-svh.html#uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER).Ports" class=IParent>uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)</a><a href="../files/comps/uvm_driver-svh.html#uvm_driver#(REQ,RSP).Ports" class=IParent>uvm_driver#(REQ,RSP)</a><a href="../files/comps/uvm_in_order_comparator-svh.html#uvm_in_order_comparator#(T,comp_type,convert,pair_type).Ports" class=IParent>uvm_in_order_comparator#(T,comp_type,convert,pair_type)</a><a href="../files/comps/uvm_push_driver-svh.html#uvm_push_driver#(REQ,RSP).Ports" class=IParent>uvm_push_driver#(REQ,RSP)</a><a href="../files/seq/uvm_push_sequencer-svh.html#uvm_push_sequencer#(REQ,RSP).Ports" class=IParent>uvm_push_sequencer#(REQ,RSP)</a><a href="../files/comps/uvm_random_stimulus-svh.html#uvm_random_stimulus#(T).Ports" class=IParent>uvm_random_stimulus#(T)</a><a href="../files/comps/uvm_subscriber-svh.html#uvm_subscriber.Ports" class=IParent>uvm_subscriber</a><a href="../files/tlm1/uvm_tlm_fifos-svh.html#uvm_tlm_analysis_fifo#(T).Ports" class=IParent>uvm_tlm_analysis_fifo#(T)</a><a href="../files/tlm1/uvm_tlm_fifo_base-svh.html#uvm_tlm_fifo_base#(T).Ports" class=IParent>uvm_tlm_fifo_base#(T)</a><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_req_rsp_channel#(REQ,RSP).Ports" class=IParent>uvm_tlm_req_rsp_channel#(REQ,RSP)</a><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_transport_channel#(REQ,RSP).Ports" class=IParent>uvm_tlm_transport_channel#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/tlm1-txt.html#Ports,Exports,and_Imps" id=link1378 onMouseOver="ShowTip(event, 'tt1373', 'link1378')" onMouseOut="HideTip('tt1373')" class=ISymbol>Ports,Exports,and Imps</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_body</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.post_body" id=link1379 onMouseOver="ShowTip(event, 'tt1374', 'link1379')" onMouseOut="HideTip('tt1374')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_configure_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.post_configure_phase" id=link1380 onMouseOver="ShowTip(event, 'tt1375', 'link1380')" onMouseOut="HideTip('tt1375')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_do</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.post_do" id=link1381 onMouseOver="ShowTip(event, 'tt1376', 'link1381')" onMouseOut="HideTip('tt1376')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_main_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.post_main_phase" id=link1382 onMouseOver="ShowTip(event, 'tt1377', 'link1382')" onMouseOut="HideTip('tt1377')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_predict</span><div class=ISubIndex><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_cbs.post_predict" id=link1383 onMouseOver="ShowTip(event, 'tt1378', 'link1383')" onMouseOut="HideTip('tt1378')" class=IParent>uvm_reg_cbs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_randomize</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.post_randomize" id=link1384 onMouseOver="ShowTip(event, 'tt1379', 'link1384')" onMouseOut="HideTip('tt1379')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_read</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.post_read" id=link1385 onMouseOver="ShowTip(event, 'tt1380', 'link1385')" onMouseOut="HideTip('tt1380')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.post_read" id=link1386 onMouseOver="ShowTip(event, 'tt1381', 'link1386')" onMouseOut="HideTip('tt1381')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_backdoor-svh.html#uvm_reg_backdoor.post_read" id=link1387 onMouseOver="ShowTip(event, 'tt1382', 'link1387')" onMouseOut="HideTip('tt1382')" class=IParent>uvm_reg_backdoor</a><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_cbs.post_read" id=link1388 onMouseOver="ShowTip(event, 'tt1383', 'link1388')" onMouseOut="HideTip('tt1383')" class=IParent>uvm_reg_cbs</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.post_read" id=link1389 onMouseOver="ShowTip(event, 'tt1384', 'link1389')" onMouseOut="HideTip('tt1384')" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.post_read" id=link1390 onMouseOver="ShowTip(event, 'tt1385', 'link1390')" onMouseOut="HideTip('tt1385')" class=IParent>uvm_vreg</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg_cbs.post_read" id=link1391 onMouseOver="ShowTip(event, 'tt1386', 'link1391')" onMouseOut="HideTip('tt1386')" class=IParent>uvm_vreg_cbs</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field.post_read" id=link1392 onMouseOver="ShowTip(event, 'tt1387', 'link1392')" onMouseOut="HideTip('tt1387')" class=IParent>uvm_vreg_field</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field_cbs.post_read" id=link1393 onMouseOver="ShowTip(event, 'tt1388', 'link1393')" onMouseOut="HideTip('tt1388')" class=IParent>uvm_vreg_field_cbs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_reset_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.post_reset_phase" id=link1394 onMouseOver="ShowTip(event, 'tt1389', 'link1394')" onMouseOut="HideTip('tt1389')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_shutdown_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.post_shutdown_phase" id=link1395 onMouseOver="ShowTip(event, 'tt1390', 'link1395')" onMouseOut="HideTip('tt1390')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_start</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.post_start" id=link1396 onMouseOver="ShowTip(event, 'tt1391', 'link1396')" onMouseOut="HideTip('tt1391')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_trigger</span><div class=ISubIndex><a href="../files/base/uvm_event_callback-svh.html#uvm_event_callback.post_trigger" id=link1397 onMouseOver="ShowTip(event, 'tt1392', 'link1397')" onMouseOut="HideTip('tt1392')" class=IParent>uvm_event_callback</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>post_write</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.post_write" id=link1398 onMouseOver="ShowTip(event, 'tt1393', 'link1398')" onMouseOut="HideTip('tt1393')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.post_write" id=link1399 onMouseOver="ShowTip(event, 'tt1394', 'link1399')" onMouseOut="HideTip('tt1394')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_backdoor-svh.html#uvm_reg_backdoor.post_write" id=link1400 onMouseOver="ShowTip(event, 'tt1395', 'link1400')" onMouseOut="HideTip('tt1395')" class=IParent>uvm_reg_backdoor</a><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_cbs.post_write" id=link1401 onMouseOver="ShowTip(event, 'tt1396', 'link1401')" onMouseOut="HideTip('tt1396')" class=IParent>uvm_reg_cbs</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.post_write" id=link1402 onMouseOver="ShowTip(event, 'tt1397', 'link1402')" onMouseOut="HideTip('tt1397')" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.post_write" id=link1403 onMouseOver="ShowTip(event, 'tt1398', 'link1403')" onMouseOut="HideTip('tt1398')" class=IParent>uvm_vreg</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg_cbs.post_write" id=link1404 onMouseOver="ShowTip(event, 'tt1399', 'link1404')" onMouseOut="HideTip('tt1399')" class=IParent>uvm_vreg_cbs</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field.post_write" id=link1405 onMouseOver="ShowTip(event, 'tt1400', 'link1405')" onMouseOut="HideTip('tt1400')" class=IParent>uvm_vreg_field</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field_cbs.post_write" id=link1406 onMouseOver="ShowTip(event, 'tt1401', 'link1406')" onMouseOut="HideTip('tt1401')" class=IParent>uvm_vreg_field_cbs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_abort</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.pre_abort" id=link1407 onMouseOver="ShowTip(event, 'tt1402', 'link1407')" onMouseOut="HideTip('tt1402')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_body</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.pre_body" id=link1408 onMouseOver="ShowTip(event, 'tt1403', 'link1408')" onMouseOut="HideTip('tt1403')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_configure_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.pre_configure_phase" id=link1409 onMouseOver="ShowTip(event, 'tt1404', 'link1409')" onMouseOut="HideTip('tt1404')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_do</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.pre_do" id=link1410 onMouseOver="ShowTip(event, 'tt1405', 'link1410')" onMouseOut="HideTip('tt1405')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_main_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.pre_main_phase" id=link1411 onMouseOver="ShowTip(event, 'tt1406', 'link1411')" onMouseOut="HideTip('tt1406')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_predict</span><div class=ISubIndex><a href="../files/reg/uvm_reg_predictor-svh.html#uvm_reg_predictor.pre_predict" id=link1412 onMouseOver="ShowTip(event, 'tt1407', 'link1412')" onMouseOut="HideTip('tt1407')" class=IParent>uvm_reg_predictor</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_randomize</span><div class=ISubIndex><a href="../files/tlm2/uvm_tlm2_generic_payload-svh.html#uvm_tlm_generic_payload.pre_randomize" id=link1413 onMouseOver="ShowTip(event, 'tt1408', 'link1413')" onMouseOut="HideTip('tt1408')" class=IParent>uvm_tlm_generic_payload</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_read</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.pre_read" id=link1414 onMouseOver="ShowTip(event, 'tt1409', 'link1414')" onMouseOut="HideTip('tt1409')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.pre_read" id=link1415 onMouseOver="ShowTip(event, 'tt1410', 'link1415')" onMouseOut="HideTip('tt1410')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_backdoor-svh.html#uvm_reg_backdoor.pre_read" id=link1416 onMouseOver="ShowTip(event, 'tt1411', 'link1416')" onMouseOut="HideTip('tt1411')" class=IParent>uvm_reg_backdoor</a><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_cbs.pre_read" id=link1417 onMouseOver="ShowTip(event, 'tt1412', 'link1417')" onMouseOut="HideTip('tt1412')" class=IParent>uvm_reg_cbs</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.pre_read" id=link1418 onMouseOver="ShowTip(event, 'tt1413', 'link1418')" onMouseOut="HideTip('tt1413')" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.pre_read" id=link1419 onMouseOver="ShowTip(event, 'tt1414', 'link1419')" onMouseOut="HideTip('tt1414')" class=IParent>uvm_reg_fifo</a><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_write_only_cbs.pre_read" id=link1420 onMouseOver="ShowTip(event, 'tt1415', 'link1420')" onMouseOut="HideTip('tt1415')" class=IParent>uvm_reg_write_only_cbs</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.pre_read" id=link1421 onMouseOver="ShowTip(event, 'tt1416', 'link1421')" onMouseOut="HideTip('tt1416')" class=IParent>uvm_vreg</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg_cbs.pre_read" id=link1422 onMouseOver="ShowTip(event, 'tt1417', 'link1422')" onMouseOut="HideTip('tt1417')" class=IParent>uvm_vreg_cbs</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field.pre_read" id=link1423 onMouseOver="ShowTip(event, 'tt1418', 'link1423')" onMouseOut="HideTip('tt1418')" class=IParent>uvm_vreg_field</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field_cbs.pre_read" id=link1424 onMouseOver="ShowTip(event, 'tt1419', 'link1424')" onMouseOut="HideTip('tt1419')" class=IParent>uvm_vreg_field_cbs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_reset_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.pre_reset_phase" id=link1425 onMouseOver="ShowTip(event, 'tt1420', 'link1425')" onMouseOut="HideTip('tt1420')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_shutdown_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.pre_shutdown_phase" id=link1426 onMouseOver="ShowTip(event, 'tt1421', 'link1426')" onMouseOut="HideTip('tt1421')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_start</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.pre_start" id=link1427 onMouseOver="ShowTip(event, 'tt1422', 'link1427')" onMouseOut="HideTip('tt1422')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_trigger</span><div class=ISubIndex><a href="../files/base/uvm_event_callback-svh.html#uvm_event_callback.pre_trigger" id=link1428 onMouseOver="ShowTip(event, 'tt1423', 'link1428')" onMouseOut="HideTip('tt1423')" class=IParent>uvm_event_callback</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>pre_write</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.pre_write" id=link1429 onMouseOver="ShowTip(event, 'tt1424', 'link1429')" onMouseOut="HideTip('tt1424')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.pre_write" id=link1430 onMouseOver="ShowTip(event, 'tt1425', 'link1430')" onMouseOut="HideTip('tt1425')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_backdoor-svh.html#uvm_reg_backdoor.pre_write" id=link1431 onMouseOver="ShowTip(event, 'tt1426', 'link1431')" onMouseOut="HideTip('tt1426')" class=IParent>uvm_reg_backdoor</a><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_cbs.pre_write" id=link1432 onMouseOver="ShowTip(event, 'tt1427', 'link1432')" onMouseOut="HideTip('tt1427')" class=IParent>uvm_reg_cbs</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.pre_write" id=link1433 onMouseOver="ShowTip(event, 'tt1428', 'link1433')" onMouseOut="HideTip('tt1428')" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.pre_write" id=link1434 onMouseOver="ShowTip(event, 'tt1429', 'link1434')" onMouseOut="HideTip('tt1429')" class=IParent>uvm_reg_fifo</a><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_read_only_cbs.pre_write" id=link1435 onMouseOver="ShowTip(event, 'tt1430', 'link1435')" onMouseOut="HideTip('tt1430')" class=IParent>uvm_reg_read_only_cbs</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.pre_write" id=link1436 onMouseOver="ShowTip(event, 'tt1431', 'link1436')" onMouseOut="HideTip('tt1431')" class=IParent>uvm_vreg</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg_cbs.pre_write" id=link1437 onMouseOver="ShowTip(event, 'tt1432', 'link1437')" onMouseOut="HideTip('tt1432')" class=IParent>uvm_vreg_cbs</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field.pre_write" id=link1438 onMouseOver="ShowTip(event, 'tt1433', 'link1438')" onMouseOut="HideTip('tt1433')" class=IParent>uvm_vreg_field</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field_cbs.pre_write" id=link1439 onMouseOver="ShowTip(event, 'tt1434', 'link1439')" onMouseOut="HideTip('tt1434')" class=IParent>uvm_vreg_field_cbs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>precedence</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.precedence" id=link1440 onMouseOver="ShowTip(event, 'tt1435', 'link1440')" onMouseOut="HideTip('tt1435')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/components-txt.html#Predefined_Component_Classes" id=link1441 onMouseOver="ShowTip(event, 'tt1436', 'link1441')" onMouseOut="HideTip('tt1436')" class=ISymbol>Predefined Component Classes</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#Predefined_Extensions" class=ISymbol>Predefined Extensions</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>predict</span><div class=ISubIndex><a href="../files/reg/uvm_reg-svh.html#uvm_reg.predict" id=link1442 onMouseOver="ShowTip(event, 'tt1437', 'link1442')" onMouseOut="HideTip('tt1437')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.predict" id=link1443 onMouseOver="ShowTip(event, 'tt1438', 'link1443')" onMouseOut="HideTip('tt1438')" class=IParent>uvm_reg_field</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>prefix</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.prefix" id=link1444 onMouseOver="ShowTip(event, 'tt1439', 'link1444')" onMouseOut="HideTip('tt1439')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>prev</span><div class=ISubIndex><a href="../files/base/uvm_callback-svh.html#uvm_callback_iter.prev" id=link1445 onMouseOver="ShowTip(event, 'tt1440', 'link1445')" onMouseOut="HideTip('tt1440')" class=IParent>uvm_callback_iter</a><a href="../files/base/uvm_pool-svh.html#uvm_pool#(KEY,T).prev" id=link1446 onMouseOver="ShowTip(event, 'tt1441', 'link1446')" onMouseOut="HideTip('tt1441')" class=IParent>uvm_pool#(KEY,T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print</span><div class=ISubIndex><a href="../files/base/uvm_factory-svh.html#uvm_default_factory.print" id=link1447 onMouseOver="ShowTip(event, 'tt1442', 'link1447')" onMouseOut="HideTip('tt1442')" class=IParent>uvm_default_factory</a><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.print" id=link1448 onMouseOver="ShowTip(event, 'tt1443', 'link1448')" onMouseOut="HideTip('tt1443')" class=IParent>uvm_default_report_server</a><a href="../files/base/uvm_factory-svh.html#uvm_factory.print" id=link1449 onMouseOver="ShowTip(event, 'tt1444', 'link1449')" onMouseOut="HideTip('tt1444')" class=IParent>uvm_factory</a><a href="../files/base/uvm_object-svh.html#uvm_object.print" id=link1450 onMouseOver="ShowTip(event, 'tt1445', 'link1450')" onMouseOut="HideTip('tt1445')" class=IParent>uvm_object</a><a href="../files/base/uvm_report_handler-svh.html#uvm_report_handler.print" id=link1451 onMouseOver="ShowTip(event, 'tt1446', 'link1451')" onMouseOut="HideTip('tt1446')" class=IParent>uvm_report_handler</a><a href="../files/base/uvm_report_message-svh.html#uvm_report_message.print" id=link1452 onMouseOver="ShowTip(event, 'tt1447', 'link1452')" onMouseOut="HideTip('tt1447')" class=IParent>uvm_report_message</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_accessors</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.print_accessors" id=link1453 onMouseOver="ShowTip(event, 'tt1448', 'link1453')" onMouseOut="HideTip('tt1448')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_array_footer</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.print_array_footer" id=link1454 onMouseOver="ShowTip(event, 'tt1449', 'link1454')" onMouseOut="HideTip('tt1449')" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_array_header</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.print_array_header" id=link1455 onMouseOver="ShowTip(event, 'tt1450', 'link1455')" onMouseOut="HideTip('tt1450')" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_array_range</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.print_array_range" id=link1456 onMouseOver="ShowTip(event, 'tt1451', 'link1456')" onMouseOut="HideTip('tt1451')" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_catcher</span><div class=ISubIndex><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.print_catcher" id=link1457 onMouseOver="ShowTip(event, 'tt1452', 'link1457')" onMouseOut="HideTip('tt1452')" class=IParent>uvm_report_catcher</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_config</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.print_config" id=link1458 onMouseOver="ShowTip(event, 'tt1453', 'link1458')" onMouseOut="HideTip('tt1453')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_config_matches</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.print_config_matches" id=link1459 onMouseOver="ShowTip(event, 'tt1454', 'link1459')" onMouseOut="HideTip('tt1454')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_config_settings</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.print_config_settings" id=link1460 onMouseOver="ShowTip(event, 'tt1455', 'link1460')" onMouseOut="HideTip('tt1455')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_config_with_audit</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.print_config_with_audit" id=link1461 onMouseOver="ShowTip(event, 'tt1456', 'link1461')" onMouseOut="HideTip('tt1456')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_enabled</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.print_enabled" id=link1462 onMouseOver="ShowTip(event, 'tt1457', 'link1462')" onMouseOut="HideTip('tt1457')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_field</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.print_field" id=link1463 onMouseOver="ShowTip(event, 'tt1458', 'link1463')" onMouseOut="HideTip('tt1458')" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_field_int</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.print_field_int" id=link1464 onMouseOver="ShowTip(event, 'tt1459', 'link1464')" onMouseOut="HideTip('tt1459')" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_generic</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.print_generic" id=link1465 onMouseOver="ShowTip(event, 'tt1460', 'link1465')" onMouseOut="HideTip('tt1460')" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_msg</span><div class=ISubIndex><a href="../files/base/uvm_comparer-svh.html#uvm_comparer.print_msg" id=link1466 onMouseOver="ShowTip(event, 'tt1461', 'link1466')" onMouseOut="HideTip('tt1461')" class=IParent>uvm_comparer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_object</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.print_object" id=link1467 onMouseOver="ShowTip(event, 'tt1462', 'link1467')" onMouseOut="HideTip('tt1462')" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_override_info</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.print_override_info" id=link1468 onMouseOver="ShowTip(event, 'tt1463', 'link1468')" onMouseOut="HideTip('tt1463')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_real</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.print_real" id=link1469 onMouseOver="ShowTip(event, 'tt1464', 'link1469')" onMouseOut="HideTip('tt1464')" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_resources</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_pool.print_resources" id=link1470 onMouseOver="ShowTip(event, 'tt1465', 'link1470')" onMouseOut="HideTip('tt1465')" class=IParent>uvm_resource_pool</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_string</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.print_string" id=link1471 onMouseOver="ShowTip(event, 'tt1466', 'link1471')" onMouseOut="HideTip('tt1466')" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_time</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer.print_time" id=link1472 onMouseOver="ShowTip(event, 'tt1467', 'link1472')" onMouseOut="HideTip('tt1467')" class=IParent>uvm_printer</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>print_topology</span><div class=ISubIndex><a href="../files/base/uvm_root-svh.html#uvm_root.print_topology" id=link1473 onMouseOver="ShowTip(event, 'tt1468', 'link1473')" onMouseOut="HideTip('tt1468')" class=IParent>uvm_root</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Printing</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.Printing" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>prior</span><div class=ISubIndex><a href="../files/reg/uvm_reg_item-svh.html#uvm_reg_item.prior" id=link1474 onMouseOver="ShowTip(event, 'tt1469', 'link1474')" onMouseOut="HideTip('tt1469')" class=IParent>uvm_reg_item</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Priority</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource#(T).Priority" id=link1475 onMouseOver="ShowTip(event, 'tt1470', 'link1475')" onMouseOut="HideTip('tt1470')" class=IParent>uvm_resource#(T)</a><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.Priority" id=link1476 onMouseOver="ShowTip(event, 'tt1471', 'link1476')" onMouseOut="HideTip('tt1471')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>process_report_message</span><div class=ISubIndex><a href="../files/base/uvm_report_handler-svh.html#uvm_report_handler.process_report_message" id=link1477 onMouseOver="ShowTip(event, 'tt1472', 'link1477')" onMouseOut="HideTip('tt1472')" class=IParent>uvm_report_handler</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>provides_responses</span><div class=ISubIndex><a href="../files/reg/uvm_reg_adapter-svh.html#uvm_reg_adapter.provides_responses" id=link1478 onMouseOver="ShowTip(event, 'tt1473', 'link1478')" onMouseOut="HideTip('tt1473')" class=IParent>uvm_reg_adapter</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>push_back</span><div class=ISubIndex><a href="../files/base/uvm_queue-svh.html#uvm_queue#(T).push_back" id=link1479 onMouseOver="ShowTip(event, 'tt1474', 'link1479')" onMouseOut="HideTip('tt1474')" class=IParent>uvm_queue#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>push_front</span><div class=ISubIndex><a href="../files/base/uvm_queue-svh.html#uvm_queue#(T).push_front" id=link1480 onMouseOver="ShowTip(event, 'tt1475', 'link1480')" onMouseOut="HideTip('tt1475')" class=IParent>uvm_queue#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>put</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer-svh.html#uvm_sequencer#(REQ,RSP).put" id=link1481 onMouseOver="ShowTip(event, 'tt1476', 'link1481')" onMouseOut="HideTip('tt1476')" class=IParent>uvm_sequencer#(REQ,RSP)</a><a href="../files/tlm1/uvm_sqr_ifs-svh.html#uvm_sqr_if_base#(REQ,RSP).put" id=link1482 onMouseOver="ShowTip(event, 'tt1477', 'link1482')" onMouseOut="HideTip('tt1477')" class=IParent>uvm_sqr_if_base#(REQ,RSP)</a><a href="../files/tlm1/uvm_tlm_ifs-svh.html#uvm_tlm_if_base#(T1,T2).put" id=link1483 onMouseOver="ShowTip(event, 'tt1478', 'link1483')" onMouseOut="HideTip('tt1478')" class=IParent>uvm_tlm_if_base#(T1,T2)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><a href="../files/overviews/tlm1-txt.html#Put" id=link1484 onMouseOver="ShowTip(event, 'tt1479', 'link1484')" onMouseOut="HideTip('tt1479')" class=ISymbol>Put</a></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>put_ap</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_fifo_base-svh.html#uvm_tlm_fifo_base#(T).put_ap" id=link1485 onMouseOver="ShowTip(event, 'tt1480', 'link1485')" onMouseOut="HideTip('tt1480')" class=IParent>uvm_tlm_fifo_base#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>put_export</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_fifo_base-svh.html#uvm_tlm_fifo_base#(T).put_export" id=link1486 onMouseOver="ShowTip(event, 'tt1481', 'link1486')" onMouseOut="HideTip('tt1481')" class=IParent>uvm_tlm_fifo_base#(T)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>put_request_export</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_req_rsp_channel#(REQ,RSP).put_request_export" id=link1487 onMouseOver="ShowTip(event, 'tt1482', 'link1487')" onMouseOut="HideTip('tt1482')" class=IParent>uvm_tlm_req_rsp_channel#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>put_response</span><div class=ISubIndex><a href="../files/tlm1/uvm_sqr_ifs-svh.html#uvm_sqr_if_base#(REQ,RSP).put_response" id=link1488 onMouseOver="ShowTip(event, 'tt1483', 'link1488')" onMouseOut="HideTip('tt1483')" class=IParent>uvm_sqr_if_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>put_response_export</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_req_rsp_channel#(REQ,RSP).put_response_export" id=link1489 onMouseOver="ShowTip(event, 'tt1484', 'link1489')" onMouseOut="HideTip('tt1484')" class=IParent>uvm_tlm_req_rsp_channel#(REQ,RSP)</a></div></td></tr>
<tr><td class=IHeading><a name="Q"></a>Q</td><td></td></tr><tr><td class=ISymbolPrefix id=IOnlySymbolPrefix>&nbsp;</td><td class=IEntry><span class=ISymbol>Quit Count</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.Quit_Count" class=IParent>uvm_default_report_server</a></div></td></tr></table>
<!--START_ND_TOOLTIPS-->
<div class=CToolTip id="tt1320"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>function int pack (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>bitstream[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_packer&nbsp;</td>
<td class=PParameter nowrap>packer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1321"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual function void pack_bits(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>value[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>size</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Packs bits from upacked array of bits into the pack array.</div></div><div class=CToolTip id="tt1322"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>function int pack_bytes (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref byte&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>bytestream[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_packer&nbsp;</td>
<td class=PParameter nowrap>packer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
</div></div><div class=CToolTip id="tt1323"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual function void pack_bytes(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>byte&nbsp;</td>
<td class=PParameter nowrap>value[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>size</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Packs bits from an upacked array of bytes into the pack array.</div></div><div class=CToolTip id="tt1324"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void pack_field (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_bitstream_t&nbsp;</td>
<td class=PParameter nowrap width=100%>value,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap width=100%>size</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Packs an integral value (less than or equal to 4096 bits) into the packed array. </div></div><div class=CToolTip id="tt1325"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void pack_field_int (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_integral_t&nbsp;</td>
<td class=PParameter nowrap width=100%>value,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap width=100%>size</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Packs the integral value (less than or equal to 64 bits) into the pack array. </div></div><div class=CToolTip id="tt1326"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>function int pack_ints (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref int&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>intstream[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_packer&nbsp;</td>
<td class=PParameter nowrap>packer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
The pack methods bitwise-concatenate this object&rsquo;s properties into an array of bits, bytes, or ints. </div></div><div class=CToolTip id="tt1327"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual function void pack_ints(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>value[],</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>size</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Packs bits from an unpacked array of ints into the pack array.</div></div><div class=CToolTip id="tt1328"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void pack_object (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap width=100%>value</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Packs an object value into the pack array.</div></div><div class=CToolTip id="tt1329"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void pack_real (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>real&nbsp;</td>
<td class=PParameter nowrap width=100%>value</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Packs a real <i>value</i> as 64 bits into the pack array.</div></div><div class=CToolTip id="tt1330"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void pack_string (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>value</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Packs a string value into the pack array.</div></div><div class=CToolTip id="tt1331"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void pack_time (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>time&nbsp;</td>
<td class=PParameter nowrap width=100%>value</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Packs a time <i>value</i> as 64 bits into the pack array.</div></div><div class=CToolTip id="tt1332"><div class=CGroup>The packing macros assist users who implement the uvm_object::do_pack method. </div></div><div class=CToolTip id="tt1333"><div class=CPort>The comparator sends out pairs of transactions across this analysis port. </div></div><div class=CToolTip id="tt1334"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>rand uvm_sequence_base parent
</td></tr></table></blockquote>The sequence from which the operation originated.</div></div><div class=CToolTip id="tt1335"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_sequence_base parent_sequence
</td></tr></table></blockquote>Set this member in extensions of this class if the bus driver requires bus items be executed via a particular sequence base type. </div></div><div class=CToolTip id="tt1336"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_path_e path
</td></tr></table></blockquote>The path being used: UVM_FRONTDOOR or UVM_BACKDOOR.</div></div><div class=CToolTip id="tt1337"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task peek(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Read the current value from a memory location</div></div><div class=CToolTip id="tt1338"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>task peek(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Sample a memory location in the region.</div></div><div class=CToolTip id="tt1339"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task peek(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Read the current value from this register</div></div><div class=CToolTip id="tt1340"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task peek (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Read the current value from this field</div></div><div class=CToolTip id="tt1341"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>task peek (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>REQ&nbsp;</td>
<td class=PParameter nowrap width=100%>t</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns the current request item if one is in the FIFO.</div></div><div class=CToolTip id="tt1342"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task peek(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>T1&nbsp;</td>
<td class=PParameter nowrap width=100%>t</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns the current request item if one is in the sequencer FIFO. </div></div><div class=CToolTip id="tt1343"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task peek(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>T2&nbsp;</td>
<td class=PParameter nowrap width=100%>t</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Obtain a new transaction without consuming it.</div></div><div class=CToolTip id="tt1344"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task peek(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>idx,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Sample the current value in a virtual register</div></div><div class=CToolTip id="tt1345"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task peek(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>idx,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Sample the current value from a virtual field</div></div><div class=CToolTip id="tt1346"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task peek_mem(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_mem&nbsp;</td>
<td class=PParameter nowrap>mem,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Peeks the given memory <i>mem</i> using uvm_mem::peek, supplying &lsquo;this&rsquo; as the <i>parent</i> argument. </div></div><div class=CToolTip id="tt1347"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task peek_reg(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg&nbsp;</td>
<td class=PParameter nowrap>rg,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Peeks the given register <i>rg</i> using uvm_reg::peek, supplying &lsquo;this&rsquo; as the <i>parent</i> argument. </div></div><div class=CToolTip id="tt1348"><div class=CGroup>Task-based phase nodes within the phasing graph provide a uvm_objection based interface for prolonging the execution of the phase. </div></div><div class=CToolTip id="tt1349"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void phase_ended (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Invoked at the end of each phase. </div></div><div class=CToolTip id="tt1350"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void phase_ready_to_end (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Invoked when all objections to ending the given <i>phase</i> and all sibling phases have been dropped, thus indicating that <i>phase</i> is ready to begin a clean exit. </div></div><div class=CToolTip id="tt1351"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void phase_started (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Invoked at the start of each phase. </div></div><div class=CToolTip id="tt1352"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void phase_state_change(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase_state_change&nbsp;</td>
<td class=PParameter nowrap width=100%>change</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called whenever a <i>phase</i> changes state. </div></div><div class=CToolTip id="tt1353"><div class=CSection>The following class are used to specify a phase and its implied functionality.</div></div><div class=CToolTip id="tt1354"><div class=CClass>The API described here provides a general purpose testbench phasing solution, consisting of a phaser machine, traversing a master schedule graph, which is built by the integrator from one or more instances of template schedules provided by UVM or by 3rd-party VIP, and which supports implicit or explicit synchronization, runtime control of threads and jumps.</div></div><div class=CToolTip id="tt1355"><div class=CGroup>These methods implement an interface which allows all components to step through a standard schedule of phases, or a customized schedule, and also an API to allow independent phase domains which can jump like state machines to reflect behavior e.g. </div></div><div class=CToolTip id="tt1356"><div class=CSection>UVM implements an automated mechanism for phasing the execution of the various components in a testbench.</div></div><div class=CToolTip id="tt1357"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit physical = 1
</td></tr></table></blockquote>This bit provides a filtering mechanism for fields.</div></div><div class=CToolTip id="tt1358"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit physical = 1
</td></tr></table></blockquote>This bit provides a filtering mechanism for fields.</div></div><div class=CToolTip id="tt1359"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit physical = 1
</td></tr></table></blockquote>This bit provides a filtering mechanism for fields.</div></div><div class=CToolTip id="tt1360"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task poke(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Deposit the specified value in a memory location</div></div><div class=CToolTip id="tt1361"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>task poke(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Deposit in a memory location in the region.</div></div><div class=CToolTip id="tt1362"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task poke(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Deposit the specified value in this register</div></div><div class=CToolTip id="tt1363"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task poke (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Deposit the specified value in this field</div></div><div class=CToolTip id="tt1364"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task poke(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>idx,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Deposit the specified value in a virtual register</div></div><div class=CToolTip id="tt1365"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task poke(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap>idx,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_sequence_base&nbsp;</td>
<td class=PParameter nowrap>parent</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Deposit the specified value in a virtual field</div></div><div class=CToolTip id="tt1366"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task poke_mem(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_mem&nbsp;</td>
<td class=PParameter nowrap>mem,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_addr_t&nbsp;</td>
<td class=PParameter nowrap>offset,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Pokes the given memory <i>mem</i> using uvm_mem::poke, supplying &lsquo;this&rsquo; as the <i>parent</i> argument. </div></div><div class=CToolTip id="tt1367"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>virtual task poke_reg(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg&nbsp;</td>
<td class=PParameter nowrap>rg,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>output&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap>status,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>extension</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Pokes the given register <i>rg</i> using uvm_reg::poke, supplying &lsquo;this&rsquo; as the <i>parent</i> argument. </div></div><div class=CToolTip id="tt1368"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
</td></tr></table></blockquote>Determines whether comparison is UVM_DEEP, UVM_REFERENCE, or UVM_SHALLOW.</div></div><div class=CToolTip id="tt1369"><div class=CSection>Policy classes are used to implement polymorphic operations that differ between built-in types and class-based types. </div></div><div class=CToolTip id="tt1370"><div class=CSection>This section defines the uvm_pool #(KEY, T) class and derivative.</div></div><div class=CToolTip id="tt1371"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function T pop_back()
</td></tr></table></blockquote>Returns the last element in the queue (index=size()-1), or <i>null</i> if the queue is empty.</div></div><div class=CToolTip id="tt1372"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function T pop_front()
</td></tr></table></blockquote>Returns the first element in the queue (index=0), or <i>null</i> if the queue is empty.</div></div><div class=CToolTip id="tt1373"><div class=CGeneric>The UVM provides unidirectional ports, exports, and implementation ports for connecting your components via the TLM interfaces.</div></div><div class=CToolTip id="tt1374"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual task post_body()
</td></tr></table></blockquote>This task is a user-definable callback task that is called after the execution of body <i>only</i> when the sequence is started with start. </div></div><div class=CToolTip id="tt1375"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_configure_phase(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_post_configure_phase phase implementation method.</div></div><div class=CToolTip id="tt1376"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void post_do(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_sequence_item&nbsp;</td>
<td class=PParameter nowrap width=100%>this_item</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
This function is a user-definable callback function that is called after the driver has indicated that it has completed the item, using either this item_done or put methods. </div></div><div class=CToolTip id="tt1377"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_main_phase(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_post_main_phase phase implementation method.</div></div><div class=CToolTip id="tt1378"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual function void post_predict(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_field&nbsp;</td>
<td class=PParameter nowrap width=100%>fld,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>previous,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>inout&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>value,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_predict_e&nbsp;</td>
<td class=PParameter nowrap width=100%>kind,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called by the uvm_reg_field::predict() method after a successful UVM_PREDICT_READ or UVM_PREDICT_WRITE prediction.</div></div><div class=CToolTip id="tt1379"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void post_randomize()
</td></tr></table></blockquote>Clean-up this class instance after randomization</div></div><div class=CToolTip id="tt1380"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after memory read.</div></div><div class=CToolTip id="tt1381"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after register read.</div></div><div class=CToolTip id="tt1382"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after user-defined backdoor register read.</div></div><div class=CToolTip id="tt1383"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Callback called after a read operation.</div></div><div class=CToolTip id="tt1384"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_read (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after field read.</div></div><div class=CToolTip id="tt1385"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task post_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>rdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap width=100%>status</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after virtual register read.</div></div><div class=CToolTip id="tt1386"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task post_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_vreg&nbsp;</td>
<td class=PParameter nowrap width=100%>rg,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>rdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap width=100%>status</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after register read.</div></div><div class=CToolTip id="tt1387"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task post_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>rdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap width=100%>status</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after virtual field read.</div></div><div class=CToolTip id="tt1388"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task post_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_vreg_field&nbsp;</td>
<td class=PParameter nowrap width=100%>field,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>rdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap width=100%>status</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after a virtual field read.</div></div><div class=CToolTip id="tt1389"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_reset_phase(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_post_reset_phase phase implementation method.</div></div><div class=CToolTip id="tt1390"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_shutdown_phase(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_post_shutdown_phase phase implementation method.</div></div><div class=CToolTip id="tt1391"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual task post_start()
</td></tr></table></blockquote>This task is a user-definable callback that is called after the optional execution of post_body. </div></div><div class=CToolTip id="tt1392"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void post_trigger (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_event#(T)&nbsp;</td>
<td class=PParameter nowrap width=100%>e,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>T&nbsp;</td>
<td class=PParameter nowrap width=100%>data</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
This callback is called after triggering the associated event. </div></div><div class=CToolTip id="tt1393"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after memory write.</div></div><div class=CToolTip id="tt1394"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after register write.</div></div><div class=CToolTip id="tt1395"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after user-defined backdoor register write.</div></div><div class=CToolTip id="tt1396"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after a write operation.</div></div><div class=CToolTip id="tt1397"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task post_write (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after field write.</div></div><div class=CToolTip id="tt1398"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task post_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>wdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap width=100%>status</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after virtual register write.</div></div><div class=CToolTip id="tt1399"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task post_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_vreg&nbsp;</td>
<td class=PParameter nowrap width=100%>rg,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>wdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap width=100%>status</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after register write.</div></div><div class=CToolTip id="tt1400"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task post_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>wdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap width=100%>status</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after virtual field write</div></div><div class=CToolTip id="tt1401"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task post_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_vreg_field&nbsp;</td>
<td class=PParameter nowrap width=100%>field,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>wdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_status_e&nbsp;</td>
<td class=PParameter nowrap width=100%>status</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called after a write operation</div></div><div class=CToolTip id="tt1402"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void pre_abort
</td></tr></table></blockquote>This callback is executed when the message system is executing a UVM_EXIT action. </div></div><div class=CToolTip id="tt1403"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual task pre_body()
</td></tr></table></blockquote>This task is a user-definable callback that is called before the execution of body <i>only</i> when the sequence is started with start. </div></div><div class=CToolTip id="tt1404"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_configure_phase(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_pre_configure_phase phase implementation method.</div></div><div class=CToolTip id="tt1405"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_do(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap width=100%>is_item</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
This task is a user-definable callback task that is called <i>on the parent sequence</i>, if any sequence has issued a wait_for_grant() call and after the sequencer has selected this sequence, and before the item is randomized.</div></div><div class=CToolTip id="tt1406"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_main_phase(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_pre_main_phase phase implementation method.</div></div><div class=CToolTip id="tt1407"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void pre_predict(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Override this method to change the value or re-direct the target register</div></div><div class=CToolTip id="tt1408"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void pre_randomize()
</td></tr></table></blockquote>Prepare this class instance for randomization</div></div><div class=CToolTip id="tt1409"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before memory read.</div></div><div class=CToolTip id="tt1410"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before register read.</div></div><div class=CToolTip id="tt1411"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before user-defined backdoor register read.</div></div><div class=CToolTip id="tt1412"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Callback called before a read operation.</div></div><div class=CToolTip id="tt1413"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_read (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before field read.</div></div><div class=CToolTip id="tt1414"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Special post-processing for a write() or update(). </div></div><div class=CToolTip id="tt1415"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Produces an error message and sets status to UVM_NOT_OK.</div></div><div class=CToolTip id="tt1416"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task pre_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before virtual register read.</div></div><div class=CToolTip id="tt1417"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task pre_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_vreg&nbsp;</td>
<td class=PParameter nowrap width=100%>rg,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before register read.</div></div><div class=CToolTip id="tt1418"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task pre_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before virtual field read.</div></div><div class=CToolTip id="tt1419"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task pre_read(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_vreg_field&nbsp;</td>
<td class=PParameter nowrap width=100%>field,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before a virtual field read.</div></div><div class=CToolTip id="tt1420"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_reset_phase(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_pre_reset_phase phase implementation method.</div></div><div class=CToolTip id="tt1421"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_shutdown_phase(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_phase&nbsp;</td>
<td class=PParameter nowrap width=100%>phase</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_pre_shutdown_phase phase implementation method.</div></div><div class=CToolTip id="tt1422"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual task pre_start()
</td></tr></table></blockquote>This task is a user-definable callback that is called before the optional execution of pre_body. </div></div><div class=CToolTip id="tt1423"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function bit pre_trigger (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_event#(T)&nbsp;</td>
<td class=PParameter nowrap width=100%>e,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>T&nbsp;</td>
<td class=PParameter nowrap width=100%>data</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
This callback is called just before triggering the associated event. </div></div><div class=CToolTip id="tt1424"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before memory write.</div></div><div class=CToolTip id="tt1425"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before register write.</div></div><div class=CToolTip id="tt1426"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before user-defined backdoor register write.</div></div><div class=CToolTip id="tt1427"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before a write operation.</div></div><div class=CToolTip id="tt1428"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_write (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before field write.</div></div><div class=CToolTip id="tt1429"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Special pre-processing for a write() or update(). </div></div><div class=CToolTip id="tt1430"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task pre_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_item&nbsp;</td>
<td class=PParameter nowrap width=100%>rw</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Produces an error message and sets status to UVM_NOT_OK.</div></div><div class=CToolTip id="tt1431"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task pre_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>wdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before virtual register write.</div></div><div class=CToolTip id="tt1432"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task pre_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_vreg&nbsp;</td>
<td class=PParameter nowrap width=100%>rg,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>wdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Callback called before a write operation.</div></div><div class=CToolTip id="tt1433"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task pre_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>wdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Called before virtual field write.</div></div><div class=CToolTip id="tt1434"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task pre_write(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>uvm_vreg_field&nbsp;</td>
<td class=PParameter nowrap width=100%>field,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>longint&nbsp;</td>
<td class=PType nowrap>unsigned&nbsp;</td>
<td class=PParameter nowrap width=100%>idx,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap width=100%>wdat,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap width=100%>path,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap width=100%>map</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Callback called before a write operation.</div></div><div class=CToolTip id="tt1435"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>int unsigned precedence
</td></tr></table></blockquote>This variable is used to associate a precedence that a resource has with respect to other resources which match the same scope and name. </div></div><div class=CToolTip id="tt1436"><div class=CGroup>Components form the foundation of the UVM. </div></div><div class=CToolTip id="tt1437"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function bit predict (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_byte_en_t&nbsp;</td>
<td class=PParameter nowrap>be</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_predict_e&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_PREDICT_DIRECT,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap>path</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_FRONTDOOR,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Update the mirrored and desired value for this register.</div></div><div class=CToolTip id="tt1438"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function bit predict (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_data_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_byte_en_t&nbsp;</td>
<td class=PParameter nowrap>be</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> -1,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_predict_e&nbsp;</td>
<td class=PParameter nowrap>kind</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_PREDICT_DIRECT,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_path_e&nbsp;</td>
<td class=PParameter nowrap>path</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> UVM_FRONTDOOR,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_reg_map&nbsp;</td>
<td class=PParameter nowrap>map</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>fname</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> &quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>lineno</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Update the mirrored and desired value for this field.</div></div><div class=CToolTip id="tt1439"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>string prefix = &quot;&quot;
</td></tr></table></blockquote>Specifies the string prepended to each output line</div></div><div class=CToolTip id="tt1440"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function CB prev()
</td></tr></table></blockquote>Returns the previous valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object. </div></div><div class=CToolTip id="tt1441"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual function int prev (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>ref&nbsp;</td>
<td class=PType nowrap>KEY&nbsp;</td>
<td class=PParameter nowrap width=100%>key</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Returns the key of the previous item in the pool.</div></div><div class=CToolTip id="tt1442"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void print (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>all_types</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints the state of the uvm_factory, including registered types, instance overrides, and type overrides.</div></div><div class=CToolTip id="tt1443"><div class=CMethod>The uvm_report_server implements the uvm_object::do_print() such that <i>print</i> method provides UVM printer formatted output of the current configuration. </div></div><div class=CToolTip id="tt1444"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>pure virtual function void print (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>all_types</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>1</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints the state of the uvm_factory, including registered types, instance overrides, and type overrides.</div></div><div class=CToolTip id="tt1445"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void print (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_printer&nbsp;</td>
<td class=PParameter nowrap>printer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
The <i>print</i> method deep-prints this object&rsquo;s properties in a format and manner governed by the given <i>printer</i> argument; if the <i>printer</i> argument is not provided, the global uvm_default_printer is used. </div></div><div class=CToolTip id="tt1446"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void do_print (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_printer&nbsp;</td>
<td class=PParameter nowrap width=100%>printer</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_report_handler implements the uvm_object::do_print() such that <i>print</i> method provides UVM printer formatted output of the current configuration. </div></div><div class=CToolTip id="tt1447"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void do_print(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_printer&nbsp;</td>
<td class=PParameter nowrap width=100%>printer</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
The uvm_report_message implements uvm_object::do_print() such that <i>print</i> method provides UVM printer formatted output of the message. </div></div><div class=CToolTip id="tt1448"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void print_accessors()
</td></tr></table></blockquote>Dump the access records for this resource</div></div><div class=CToolTip id="tt1449"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void print_array_footer (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>size</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints the header of a footer. </div></div><div class=CToolTip id="tt1450"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void print_array_header(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>size,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>arraytype</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;array&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>byte&nbsp;</td>
<td class=PParameter nowrap>scope_separator</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;.&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints the header of an array. </div></div><div class=CToolTip id="tt1451"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void print_array_range (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap width=100%>min,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap width=100%>max</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints a range using ellipses for values. </div></div><div class=CToolTip id="tt1452"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>static function void print_catcher(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>UVM_FILE&nbsp;</td>
<td class=PParameter nowrap>file</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints information about all of the report catchers that are registered. </div></div><div class=CToolTip id="tt1453"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void print_config(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>recurse</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>audit</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Print_config_settings prints all configuration information for this component, as set by previous calls to uvm_config_db::set() and exports to the resources pool. </div></div><div class=CToolTip id="tt1454"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>static bit print_config_matches
</td></tr></table></blockquote>Setting this static variable causes uvm_config_db::get() to print info about matching configuration settings as they are being applied.</div></div><div class=CToolTip id="tt1455"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void print_config_settings (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>field</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_component&nbsp;</td>
<td class=PParameter nowrap>comp</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>recurse</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Called without arguments, print_config_settings prints all configuration information for this component, as set by previous calls to uvm_config_db::set(). </div></div><div class=CToolTip id="tt1456"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void print_config_with_audit(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>recurse</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Operates the same as print_config except that the audit bit is forced to 1. </div></div><div class=CToolTip id="tt1457"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit print_enabled = 1
</td></tr></table></blockquote>This bit determines if this component should automatically be printed as a child of its parent object.</div></div><div class=CToolTip id="tt1458"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void print_field (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_bitstream_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>size,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_radix_enum&nbsp;</td>
<td class=PParameter nowrap>radix</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>UVM_NORADIX,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>byte&nbsp;</td>
<td class=PParameter nowrap>scope_separator</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;.&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>type_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints an integral field (up to 4096 bits).</div></div><div class=CToolTip id="tt1459"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void print_field_int (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_integral_t&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>size,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_radix_enum&nbsp;</td>
<td class=PParameter nowrap>radix</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>UVM_NORADIX,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>byte&nbsp;</td>
<td class=PParameter nowrap>scope_separator</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;.&quot;,</td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>type_name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints an integral field (up to 64 bits).</div></div><div class=CToolTip id="tt1460"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void print_generic (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>type_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>int&nbsp;</td>
<td class=PParameter nowrap>size,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>byte&nbsp;</td>
<td class=PParameter nowrap>scope_separator</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;.&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints a field having the given <i>name</i>, <i>type_name</i>, <i>size</i>, and <i>value</i>.</div></div><div class=CToolTip id="tt1461"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>function void print_msg (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap width=100%>msg</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Causes the error count to be incremented and the message, <i>msg</i>, to be appended to the miscompares string (a newline is used to separate messages).</div></div><div class=CToolTip id="tt1462"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void print_object (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_object&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>byte&nbsp;</td>
<td class=PParameter nowrap>scope_separator</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;.&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints an object. </div></div><div class=CToolTip id="tt1463"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void print_override_info(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>requested_type_name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
This factory debug method performs the same lookup process as create_object and create_component, but instead of creating an object, it prints information about what type of object would be created given the provided arguments.</div></div><div class=CToolTip id="tt1464"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void print_real (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>real&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>byte&nbsp;</td>
<td class=PParameter nowrap>scope_separator</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;.&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints a real field.</div></div><div class=CToolTip id="tt1465"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=6>function void print_resources(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>uvm_resource_types::</td>
<td class=PType nowrap>rsrc_q_t&nbsp;</td>
<td class=PParameter nowrap>rq,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap></td>
<td class=PType nowrap>bit&nbsp;</td>
<td class=PParameter nowrap>audit</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%> 0</td>
</tr>
<tr>
<td class=PAfterParameters colspan=6>)</td></tr>
</table></td></tr>
</table></blockquote>
Print the resources that are in a single queue, <i>rq</i>. </div></div><div class=CToolTip id="tt1466"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void print_string (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>byte&nbsp;</td>
<td class=PParameter nowrap>scope_separator</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;.&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints a string field.</div></div><div class=CToolTip id="tt1467"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>virtual function void print_time (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>string&nbsp;</td>
<td class=PParameter nowrap>name,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>time&nbsp;</td>
<td class=PParameter nowrap>value,</td>
<td class=PDefaultValuePrefix>&nbsp;&nbsp;</td>
<td class=PDefaultValue width=100%></td>
</tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>byte&nbsp;</td>
<td class=PParameter nowrap>scope_separator</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>&quot;.&quot;</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Prints a time value. </div></div><div class=CToolTip id="tt1468"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=5>function void print_topology (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_printer&nbsp;</td>
<td class=PParameter nowrap>printer</td>
<td class=PDefaultValuePrefix>&nbsp;=&nbsp;</td>
<td class=PDefaultValue width=100%>null</td>
</tr>
<tr>
<td class=PAfterParameters colspan=5>)</td></tr>
</table></td></tr>
</table></blockquote>
Print the verification environment&rsquo;s component topology. </div></div><div class=CToolTip id="tt1469"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>int prior = -1
</td></tr></table></blockquote>The priority requested of this transfer, as defined by uvm_sequence_base::start_item.</div></div><div class=CToolTip id="tt1470"><div class=CGroup>Functions for manipulating the search priority of resources. </div></div><div class=CToolTip id="tt1471"><div class=CGroup>Functions for manipulating the search priority of resources. </div></div><div class=CToolTip id="tt1472"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void process_report_message(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>uvm_report_message&nbsp;</td>
<td class=PParameter nowrap width=100%>report_message</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
This is the common handler method used by the four core reporting methods (e.g. </div></div><div class=CToolTip id="tt1473"><div class=CVariable>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit provides_responses
</td></tr></table></blockquote>Set this bit in extensions of this class if the bus driver provides separate response items.</div></div><div class=CToolTip id="tt1474"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void push_back(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>T&nbsp;</td>
<td class=PParameter nowrap width=100%>item</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Inserts the given <i>item</i> at the back of the queue.</div></div><div class=CToolTip id="tt1475"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual function void push_front(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>T&nbsp;</td>
<td class=PParameter nowrap width=100%>item</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Inserts the given <i>item</i> at the front of the queue.</div></div><div class=CToolTip id="tt1476"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=3>virtual task put (</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PType nowrap>RSP&nbsp;</td>
<td class=PParameter nowrap width=100%>t</td>
</tr>
<tr>
<td class=PAfterParameters colspan=3>)</td></tr>
</table></td></tr>
</table></blockquote>
Sends a response back to the sequence that issued the request.</div></div><div class=CToolTip id="tt1477"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task put(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>T2&nbsp;</td>
<td class=PParameter nowrap width=100%>t</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Sends a response back to the sequence that issued the request. </div></div><div class=CToolTip id="tt1478"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual task put(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>T1&nbsp;</td>
<td class=PParameter nowrap width=100%>t</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Sends a user-defined transaction of type T.</div></div><div class=CToolTip id="tt1479"><div class=CGeneric>The <i>put</i> interfaces are used to send, or <i>put</i>, transactions to other components. </div></div><div class=CToolTip id="tt1480"><div class=CPort>Transactions passed via <i>put</i> or <i>try_put</i> (via any port connected to the put_export) are sent out this port via its <i>write</i> method.</div></div><div class=CToolTip id="tt1481"><div class=CPort>The <i>put_export</i> provides both the blocking and non-blocking put interface methods to any attached port:</div></div><div class=CToolTip id="tt1482"><div class=CPort>The put_export provides both the blocking and non-blocking put interface methods to the request FIFO:</div></div><div class=CToolTip id="tt1483"><div class=CMethod>
<blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype>
<tr><td><table border=0 cellspacing=0 cellpadding=0><tr>
<td class=PBeforeParameters colspan=4>virtual function void put_response(</td> </tr><tr><td>&nbsp;&nbsp;&nbsp;</td> <td class=PTypePrefix nowrap>input&nbsp;</td>
<td class=PType nowrap>T2&nbsp;</td>
<td class=PParameter nowrap width=100%>t</td>
</tr>
<tr>
<td class=PAfterParameters colspan=4>)</td></tr>
</table></td></tr>
</table></blockquote>
Sends a response back to the sequence that issued the request. </div></div><div class=CToolTip id="tt1484"><div class=CPort>The put_export provides both the blocking and non-blocking put interface methods to the response FIFO:</div></div><!--END_ND_TOOLTIPS-->
<!--START_ND_TOOLTIPS-->
<!--END_ND_TOOLTIPS-->
</div><!--Index-->
<script language=JavaScript><!--
if (browserType) {if (browserVer) {document.write("</div>"); }document.write("</div>");}// --></script></body></html>