| <!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN" "http://www.w3.org/TR/REC-html40/loose.dtd"> |
| |
| <html><head><title>Index</title><link rel="stylesheet" type="text/css" href="../styles/main.css"><script language=JavaScript src="../javascript/main.js"></script></head><body class="FramedIndexPage" onLoad="NDOnLoad()"><script language=JavaScript><!-- |
| if (browserType) {document.write("<div class=" + browserType + ">");if (browserVer) {document.write("<div class=" + browserVer + ">"); }}// --></script> |
| |
| |
| |
| |
| <!-- Generated by Natural Docs, version Development Release 01-12-2008 (1.35 base) --> |
| <!-- http://www.naturaldocs.org --> |
| |
| <!-- saved from url=(0026)http://www.naturaldocs.org --> |
| |
| |
| |
| |
| |
| <div id=Index><div class=IPageTitle>Index</div><div class=INavigationBar><a href="General.html#Symbols">$#!</a> · <a href="General.html#Numbers">0-9</a> · <a href="General.html#A">A</a> · <a href="General.html#B">B</a> · <a href="General2.html#C">C</a> · <a href="General2.html#D">D</a> · <a href="General2.html#E">E</a> · <a href="General3.html#F">F</a> · <a href="General4.html#G">G</a> · <a href="General5.html#H">H</a> · <a href="General5.html#I">I</a> · <a href="General5.html#J">J</a> · <a href="General5.html#K">K</a> · <a href="General5.html#L">L</a> · <a href="General5.html#M">M</a> · <a href="General6.html#N">N</a> · <a href="General6.html#O">O</a> · <a href="General7.html#P">P</a> · <a href="General7.html#Q">Q</a> · <a href="#R">R</a> · <a href="General9.html#S">S</a> · <a href="General10.html#T">T</a> · <a href="General11.html#U">U</a> · <a href="General12.html#V">V</a> · <a href="General12.html#W">W</a> · X · Y · Z</div><table border=0 cellspacing=0 cellpadding=0> |
| <tr><td class=IHeading id=IFirstHeading><a name="R"></a>R</td><td></td></tr><tr><td class=ISymbolPrefix id=IFirstSymbolPrefix> </td><td class=IEntry><span class=ISymbol>raise_objection</span><div class=ISubIndex><a href="../files/base/uvm_objection-svh.html#uvm_objection.raise_objection" id=link1490 onMouseOver="ShowTip(event, 'tt1485', 'link1490')" onMouseOut="HideTip('tt1485')" class=IParent>uvm_objection</a><a href="../files/base/uvm_phase-svh.html#uvm_phase.raise_objection" id=link1491 onMouseOver="ShowTip(event, 'tt1486', 'link1491')" onMouseOut="HideTip('tt1486')" class=IParent>uvm_phase</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>raised</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.raised" id=link1492 onMouseOver="ShowTip(event, 'tt1487', 'link1492')" onMouseOut="HideTip('tt1487')" class=IParent>uvm_component</a><a href="../files/base/uvm_objection-svh.html#uvm_objection.raised" id=link1493 onMouseOver="ShowTip(event, 'tt1488', 'link1493')" onMouseOut="HideTip('tt1488')" class=IParent>uvm_objection</a><a href="../files/base/uvm_objection-svh.html#uvm_objection_callback.raised" id=link1494 onMouseOver="ShowTip(event, 'tt1489', 'link1494')" onMouseOut="HideTip('tt1489')" class=IParent>uvm_objection_callback</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>read</span><div class=ISubIndex><a href="../files/reg/uvm_mem-svh.html#uvm_mem.read" id=link1495 onMouseOver="ShowTip(event, 'tt1490', 'link1495')" onMouseOut="HideTip('tt1490')" class=IParent>uvm_mem</a><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_region.read" id=link1496 onMouseOver="ShowTip(event, 'tt1491', 'link1496')" onMouseOut="HideTip('tt1491')" class=IParent>uvm_mem_region</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.read" id=link1497 onMouseOver="ShowTip(event, 'tt1492', 'link1497')" onMouseOut="HideTip('tt1492')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_backdoor-svh.html#uvm_reg_backdoor.read" id=link1498 onMouseOver="ShowTip(event, 'tt1493', 'link1498')" onMouseOut="HideTip('tt1493')" class=IParent>uvm_reg_backdoor</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.read" id=link1499 onMouseOver="ShowTip(event, 'tt1494', 'link1499')" onMouseOut="HideTip('tt1494')" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_reg_fifo-svh.html#uvm_reg_fifo.read" id=link1500 onMouseOver="ShowTip(event, 'tt1495', 'link1500')" onMouseOut="HideTip('tt1495')" class=IParent>uvm_reg_fifo</a><a href="../files/base/uvm_resource-svh.html#uvm_resource#(T).read" id=link1501 onMouseOver="ShowTip(event, 'tt1496', 'link1501')" onMouseOut="HideTip('tt1496')" class=IParent>uvm_resource#(T)</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.read" id=link1502 onMouseOver="ShowTip(event, 'tt1497', 'link1502')" onMouseOut="HideTip('tt1497')" class=IParent>uvm_vreg</a><a href="../files/reg/uvm_vreg_field-svh.html#uvm_vreg_field.read" id=link1503 onMouseOver="ShowTip(event, 'tt1498', 'link1503')" onMouseOut="HideTip('tt1498')" class=IParent>uvm_vreg_field</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Read-only Interface</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.Read-only_Interface" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Read/<span class=HB> </span>Write Interface</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource#(T).Read/Write_Interface" id=link1504 onMouseOver="ShowTip(event, 'tt1499', 'link1504')" onMouseOut="HideTip('tt1499')" class=IParent>uvm_resource#(T)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>read_by_name</span><div class=ISubIndex><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db.read_by_name" id=link1505 onMouseOver="ShowTip(event, 'tt1500', 'link1505')" onMouseOut="HideTip('tt1500')" class=IParent>uvm_resource_db</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>read_by_type</span><div class=ISubIndex><a href="../files/base/uvm_resource_db-svh.html#uvm_resource_db.read_by_type" id=link1506 onMouseOver="ShowTip(event, 'tt1501', 'link1506')" onMouseOut="HideTip('tt1501')" class=IParent>uvm_resource_db</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>read_func</span><div class=ISubIndex><a href="../files/reg/uvm_reg_backdoor-svh.html#uvm_reg_backdoor.read_func" id=link1507 onMouseOver="ShowTip(event, 'tt1502', 'link1507')" onMouseOut="HideTip('tt1502')" class=IParent>uvm_reg_backdoor</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>read_mem</span><div class=ISubIndex><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence.read_mem" id=link1508 onMouseOver="ShowTip(event, 'tt1503', 'link1508')" onMouseOut="HideTip('tt1503')" class=IParent>uvm_reg_sequence</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>read_mem_by_name</span><div class=ISubIndex><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.read_mem_by_name" id=link1509 onMouseOver="ShowTip(event, 'tt1504', 'link1509')" onMouseOut="HideTip('tt1504')" class=IParent>uvm_reg_block</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>read_reg</span><div class=ISubIndex><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence.read_reg" id=link1510 onMouseOver="ShowTip(event, 'tt1505', 'link1510')" onMouseOut="HideTip('tt1505')" class=IParent>uvm_reg_sequence</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>read_reg_by_name</span><div class=ISubIndex><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.read_reg_by_name" id=link1511 onMouseOver="ShowTip(event, 'tt1506', 'link1511')" onMouseOut="HideTip('tt1506')" class=IParent>uvm_reg_block</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reconfigure</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam.reconfigure" id=link1512 onMouseOver="ShowTip(event, 'tt1507', 'link1512')" onMouseOut="HideTip('tt1507')" class=IParent>uvm_mem_mam</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.record" id=link1513 onMouseOver="ShowTip(event, 'tt1508', 'link1513')" onMouseOut="HideTip('tt1508')" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_all_messages</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.record_all_messages" id=link1514 onMouseOver="ShowTip(event, 'tt1509', 'link1514')" onMouseOut="HideTip('tt1509')" class=IParent>uvm_default_report_server</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_error_tr</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.record_error_tr" id=link1515 onMouseOver="ShowTip(event, 'tt1510', 'link1515')" onMouseOut="HideTip('tt1510')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_event_tr</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.record_event_tr" id=link1516 onMouseOver="ShowTip(event, 'tt1511', 'link1516')" onMouseOut="HideTip('tt1511')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_field</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.record_field" id=link1517 onMouseOver="ShowTip(event, 'tt1512', 'link1517')" onMouseOut="HideTip('tt1512')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_field_int</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.record_field_int" id=link1518 onMouseOver="ShowTip(event, 'tt1513', 'link1518')" onMouseOut="HideTip('tt1513')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_field_real</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.record_field_real" id=link1519 onMouseOver="ShowTip(event, 'tt1514', 'link1519')" onMouseOut="HideTip('tt1514')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_generic</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.record_generic" id=link1520 onMouseOver="ShowTip(event, 'tt1515', 'link1520')" onMouseOut="HideTip('tt1515')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_object</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.record_object" id=link1521 onMouseOver="ShowTip(event, 'tt1516', 'link1521')" onMouseOut="HideTip('tt1516')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_read_access</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.record_read_access" id=link1522 onMouseOver="ShowTip(event, 'tt1517', 'link1522')" onMouseOut="HideTip('tt1517')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_string</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.record_string" id=link1523 onMouseOver="ShowTip(event, 'tt1518', 'link1523')" onMouseOut="HideTip('tt1518')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_time</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.record_time" id=link1524 onMouseOver="ShowTip(event, 'tt1519', 'link1524')" onMouseOut="HideTip('tt1519')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>record_write_access</span><div class=ISubIndex><a href="../files/base/uvm_resource-svh.html#uvm_resource_base.record_write_access" id=link1525 onMouseOver="ShowTip(event, 'tt1520', 'link1525')" onMouseOut="HideTip('tt1520')" class=IParent>uvm_resource_base</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Recording</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.Recording" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Recording Interface</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.Recording_Interface" id=link1526 onMouseOver="ShowTip(event, 'tt1521', 'link1526')" onMouseOut="HideTip('tt1521')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/macros/uvm_object_defines-svh.html#Recording_Macros" id=link1527 onMouseOver="ShowTip(event, 'tt1522', 'link1527')" onMouseOut="HideTip('tt1522')" class=ISymbol>Recording Macros</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>recursion_policy</span><div class=ISubIndex><a href="../files/base/uvm_recorder-svh.html#uvm_recorder.recursion_policy" id=link1528 onMouseOver="ShowTip(event, 'tt1523', 'link1528')" onMouseOut="HideTip('tt1523')" class=IParent>uvm_recorder</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reference</span><div class=ISubIndex><a href="../files/base/uvm_printer-svh.html#uvm_printer_knobs.reference" id=link1529 onMouseOver="ShowTip(event, 'tt1524', 'link1529')" onMouseOut="HideTip('tt1524')" class=IParent>uvm_printer_knobs</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reg_ap</span><div class=ISubIndex><a href="../files/reg/uvm_reg_predictor-svh.html#uvm_reg_predictor.reg_ap" id=link1530 onMouseOver="ShowTip(event, 'tt1525', 'link1530')" onMouseOut="HideTip('tt1525')" class=IParent>uvm_reg_predictor</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reg_seq</span><div class=ISubIndex><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#uvm_reg_access_seq.reg_seq" id=link1531 onMouseOver="ShowTip(event, 'tt1526', 'link1531')" onMouseOut="HideTip('tt1526')" class=IParent>uvm_reg_access_seq</a><a href="../files/reg/sequences/uvm_reg_bit_bash_seq-svh.html#uvm_reg_bit_bash_seq.reg_seq" id=link1532 onMouseOver="ShowTip(event, 'tt1527', 'link1532')" onMouseOut="HideTip('tt1527')" class=IParent>uvm_reg_bit_bash_seq</a><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_reg_mem_shared_access_seq.reg_seq" id=link1533 onMouseOver="ShowTip(event, 'tt1528', 'link1533')" onMouseOut="HideTip('tt1528')" class=IParent>uvm_reg_mem_shared_access_seq</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reg_seqr</span><div class=ISubIndex><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_sequence.reg_seqr" id=link1534 onMouseOver="ShowTip(event, 'tt1529', 'link1534')" onMouseOut="HideTip('tt1529')" class=IParent>uvm_reg_sequence</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reg2bus</span><div class=ISubIndex><a href="../files/reg/uvm_reg_adapter-svh.html#uvm_reg_adapter.reg2bus" id=link1535 onMouseOver="ShowTip(event, 'tt1530', 'link1535')" onMouseOut="HideTip('tt1530')" class=IParent>uvm_reg_adapter</a><a href="../files/reg/uvm_reg_adapter-svh.html#uvm_reg_tlm_adapter.reg2bus" id=link1536 onMouseOver="ShowTip(event, 'tt1531', 'link1536')" onMouseOut="HideTip('tt1531')" class=IParent>uvm_reg_tlm_adapter</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>register</span><div class=ISubIndex><a href="../files/base/uvm_factory-svh.html#uvm_default_factory.register" id=link1537 onMouseOver="ShowTip(event, 'tt1532', 'link1537')" onMouseOut="HideTip('tt1532')" class=IParent>uvm_default_factory</a><a href="../files/base/uvm_factory-svh.html#uvm_factory.register" id=link1538 onMouseOver="ShowTip(event, 'tt1533', 'link1538')" onMouseOut="HideTip('tt1533')" class=IParent>uvm_factory</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#Register_Access_Test_Sequences" id=link1539 onMouseOver="ShowTip(event, 'tt1534', 'link1539')" onMouseOut="HideTip('tt1534')" class=ISymbol>Register Access Test Sequences</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_cbs-svh.html#Register_Callbacks" id=link1540 onMouseOver="ShowTip(event, 'tt1535', 'link1540')" onMouseOut="HideTip('tt1535')" class=ISymbol>Register Callbacks</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/macros/uvm_reg_defines-svh.html#Register_Defines" class=ISymbol>Register Defines</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/overviews/registers-txt.html#Register_Layer" id=link1541 onMouseOver="ShowTip(event, 'tt1536', 'link1541')" onMouseOut="HideTip('tt1536')" class=ISymbol>Register Layer</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/reg/uvm_reg_sequence-svh.html#Register_Sequence_Classes" id=link1542 onMouseOver="ShowTip(event, 'tt1537', 'link1542')" onMouseOut="HideTip('tt1537')" class=ISymbol>Register Sequence Classes</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Registering Types</span><div class=ISubIndex><a href="../files/base/uvm_factory-svh.html#uvm_default_factory.Registering_Types" class=IParent>uvm_default_factory</a><a href="../files/base/uvm_factory-svh.html#uvm_factory.Registering_Types" class=IParent>uvm_factory</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>release_all_regions</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam.release_all_regions" id=link1543 onMouseOver="ShowTip(event, 'tt1538', 'link1543')" onMouseOut="HideTip('tt1538')" class=IParent>uvm_mem_mam</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>release_region</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam.release_region" id=link1544 onMouseOver="ShowTip(event, 'tt1539', 'link1544')" onMouseOut="HideTip('tt1539')" class=IParent>uvm_mem_mam</a><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_region.release_region" id=link1545 onMouseOver="ShowTip(event, 'tt1540', 'link1545')" onMouseOut="HideTip('tt1540')" class=IParent>uvm_mem_region</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.release_region" id=link1546 onMouseOver="ShowTip(event, 'tt1541', 'link1546')" onMouseOut="HideTip('tt1541')" class=IParent>uvm_vreg</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>remove</span><div class=ISubIndex><a href="../files/base/uvm_heartbeat-svh.html#uvm_heartbeat.remove" id=link1547 onMouseOver="ShowTip(event, 'tt1542', 'link1547')" onMouseOut="HideTip('tt1542')" class=IParent>uvm_heartbeat</a><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_read_only_cbs.remove" id=link1548 onMouseOver="ShowTip(event, 'tt1543', 'link1548')" onMouseOut="HideTip('tt1543')" class=IParent>uvm_reg_read_only_cbs</a><a href="../files/reg/uvm_reg_cbs-svh.html#uvm_reg_write_only_cbs.remove" id=link1549 onMouseOver="ShowTip(event, 'tt1544', 'link1549')" onMouseOut="HideTip('tt1544')" class=IParent>uvm_reg_write_only_cbs</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>remove_sequence</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_library-svh.html#uvm_sequence_library.remove_sequence" id=link1550 onMouseOver="ShowTip(event, 'tt1545', 'link1550')" onMouseOut="HideTip('tt1545')" class=IParent>uvm_sequence_library</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Report Handler Configuration</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.Report_Handler_Configuration" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/macros/uvm_message_defines-svh.html#Report_Macros" id=link1551 onMouseOver="ShowTip(event, 'tt1546', 'link1551')" onMouseOut="HideTip('tt1546')" class=ISymbol>Report Macros</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>report_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.report_phase" id=link1552 onMouseOver="ShowTip(event, 'tt1547', 'link1552')" onMouseOut="HideTip('tt1547')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>report_summarize</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.report_summarize" id=link1553 onMouseOver="ShowTip(event, 'tt1548', 'link1553')" onMouseOut="HideTip('tt1548')" class=IParent>uvm_default_report_server</a><a href="../files/base/uvm_report_server-svh.html#uvm_report_server.report_summarize" id=link1554 onMouseOver="ShowTip(event, 'tt1549', 'link1554')" onMouseOut="HideTip('tt1549')" class=IParent>uvm_report_server</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Reporting</span><div class=ISubIndex><span class=IParent>Global</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#Reporting" class=IFile>base/<span class=HB> </span>uvm_globals.svh</a><a href="../files/base/uvm_object_globals-svh.html#Reporting" class=IFile>base/<span class=HB> </span>uvm_object_globals.svh</a></div><a href="../files/base/uvm_report_catcher-svh.html#uvm_report_catcher.Reporting" class=IParent>uvm_report_catcher</a><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.Reporting" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/overviews/reporting-txt.html#Reporting_Classes" id=link1555 onMouseOver="ShowTip(event, 'tt1550', 'link1555')" onMouseOut="HideTip('tt1550')" class=ISymbol>Reporting Classes</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Reporting Interface</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_item-svh.html#uvm_sequence_item.Reporting_Interface" id=link1556 onMouseOver="ShowTip(event, 'tt1551', 'link1556')" onMouseOut="HideTip('tt1551')" class=IParent>uvm_sequence_item</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>req</span><div class=ISubIndex><a href="../files/seq/uvm_sequence-svh.html#uvm_sequence#(REQ,RSP).req" id=link1557 onMouseOver="ShowTip(event, 'tt1552', 'link1557')" onMouseOut="HideTip('tt1552')" class=IParent>uvm_sequence#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>req_export</span><div class=ISubIndex><a href="../files/comps/uvm_push_driver-svh.html#uvm_push_driver#(REQ,RSP).req_export" id=link1558 onMouseOver="ShowTip(event, 'tt1553', 'link1558')" onMouseOut="HideTip('tt1553')" class=IParent>uvm_push_driver#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>req_port</span><div class=ISubIndex><a href="../files/seq/uvm_push_sequencer-svh.html#uvm_push_sequencer#(REQ,RSP).req_port" id=link1559 onMouseOver="ShowTip(event, 'tt1554', 'link1559')" onMouseOut="HideTip('tt1554')" class=IParent>uvm_push_sequencer#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>request_ap</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_req_rsp_channel#(REQ,RSP).request_ap" id=link1560 onMouseOver="ShowTip(event, 'tt1555', 'link1560')" onMouseOut="HideTip('tt1555')" class=IParent>uvm_tlm_req_rsp_channel#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>request_region</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam.request_region" id=link1561 onMouseOver="ShowTip(event, 'tt1556', 'link1561')" onMouseOut="HideTip('tt1556')" class=IParent>uvm_mem_mam</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Requests</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP).Requests" class=IParent>uvm_sequencer_param_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reseed</span><div class=ISubIndex><a href="../files/base/uvm_object-svh.html#uvm_object.reseed" id=link1562 onMouseOver="ShowTip(event, 'tt1557', 'link1562')" onMouseOut="HideTip('tt1557')" class=IParent>uvm_object</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reserve_region</span><div class=ISubIndex><a href="../files/reg/uvm_mem_mam-svh.html#uvm_mem_mam.reserve_region" id=link1563 onMouseOver="ShowTip(event, 'tt1558', 'link1563')" onMouseOut="HideTip('tt1558')" class=IParent>uvm_mem_mam</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reset</span><div class=ISubIndex><a href="../files/base/uvm_barrier-svh.html#uvm_barrier.reset" id=link1564 onMouseOver="ShowTip(event, 'tt1559', 'link1564')" onMouseOut="HideTip('tt1559')" class=IParent>uvm_barrier</a><a href="../files/base/uvm_event-svh.html#uvm_event_base.reset" id=link1565 onMouseOver="ShowTip(event, 'tt1560', 'link1565')" onMouseOut="HideTip('tt1560')" class=IParent>uvm_event_base</a><a href="../files/reg/uvm_reg-svh.html#uvm_reg.reset" id=link1566 onMouseOver="ShowTip(event, 'tt1561', 'link1566')" onMouseOut="HideTip('tt1561')" class=IParent>uvm_reg</a><a href="../files/reg/uvm_reg_block-svh.html#uvm_reg_block.reset" id=link1567 onMouseOver="ShowTip(event, 'tt1562', 'link1567')" onMouseOut="HideTip('tt1562')" class=IParent>uvm_reg_block</a><a href="../files/reg/uvm_reg_field-svh.html#uvm_reg_field.reset" id=link1568 onMouseOver="ShowTip(event, 'tt1563', 'link1568')" onMouseOut="HideTip('tt1563')" class=IParent>uvm_reg_field</a><a href="../files/reg/uvm_reg_map-svh.html#uvm_reg_map.reset" id=link1569 onMouseOver="ShowTip(event, 'tt1564', 'link1569')" onMouseOut="HideTip('tt1564')" class=IParent>uvm_reg_map</a><a href="../files/tlm2/uvm_tlm2_time-svh.html#uvm_tlm_time.reset" id=link1570 onMouseOver="ShowTip(event, 'tt1565', 'link1570')" onMouseOut="HideTip('tt1565')" class=IParent>uvm_tlm_time</a><a href="../files/reg/uvm_vreg-svh.html#uvm_vreg.reset" id=link1571 onMouseOver="ShowTip(event, 'tt1566', 'link1571')" onMouseOut="HideTip('tt1566')" class=IParent>uvm_vreg</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reset_blk</span><div class=ISubIndex><a href="../files/reg/sequences/uvm_mem_access_seq-svh.html#uvm_mem_access_seq.reset_blk" id=link1572 onMouseOver="ShowTip(event, 'tt1567', 'link1572')" onMouseOut="HideTip('tt1567')" class=IParent>uvm_mem_access_seq</a><a href="../files/reg/sequences/uvm_mem_walk_seq-svh.html#uvm_mem_walk_seq.reset_blk" id=link1573 onMouseOver="ShowTip(event, 'tt1568', 'link1573')" onMouseOut="HideTip('tt1568')" class=IParent>uvm_mem_walk_seq</a><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#uvm_reg_access_seq.reset_blk" id=link1574 onMouseOver="ShowTip(event, 'tt1569', 'link1574')" onMouseOut="HideTip('tt1569')" class=IParent>uvm_reg_access_seq</a><a href="../files/reg/sequences/uvm_reg_bit_bash_seq-svh.html#uvm_reg_bit_bash_seq.reset_blk" id=link1575 onMouseOver="ShowTip(event, 'tt1570', 'link1575')" onMouseOut="HideTip('tt1570')" class=IParent>uvm_reg_bit_bash_seq</a><a href="../files/reg/sequences/uvm_reg_hw_reset_seq-svh.html#uvm_reg_hw_reset_seq.reset_blk" id=link1576 onMouseOver="ShowTip(event, 'tt1571', 'link1576')" onMouseOut="HideTip('tt1571')" class=IParent>uvm_reg_hw_reset_seq</a><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_reg_mem_shared_access_seq.reset_blk" id=link1577 onMouseOver="ShowTip(event, 'tt1572', 'link1577')" onMouseOut="HideTip('tt1572')" class=IParent>uvm_reg_mem_shared_access_seq</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reset_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.reset_phase" id=link1578 onMouseOver="ShowTip(event, 'tt1573', 'link1578')" onMouseOut="HideTip('tt1573')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reset_quit_count</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.reset_quit_count" id=link1579 onMouseOver="ShowTip(event, 'tt1574', 'link1579')" onMouseOut="HideTip('tt1574')" class=IParent>uvm_default_report_server</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reset_report_handler</span><div class=ISubIndex><a href="../files/base/uvm_report_object-svh.html#uvm_report_object.reset_report_handler" id=link1580 onMouseOver="ShowTip(event, 'tt1575', 'link1580')" onMouseOut="HideTip('tt1575')" class=IParent>uvm_report_object</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>reset_severity_counts</span><div class=ISubIndex><a href="../files/base/uvm_report_server-svh.html#uvm_default_report_server.reset_severity_counts" id=link1581 onMouseOver="ShowTip(event, 'tt1576', 'link1581')" onMouseOut="HideTip('tt1576')" class=IParent>uvm_default_report_server</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>resolve_bindings</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.resolve_bindings" id=link1582 onMouseOver="ShowTip(event, 'tt1577', 'link1582')" onMouseOut="HideTip('tt1577')" class=IParent>uvm_component</a><a href="../files/base/uvm_port_base-svh.html#uvm_port_base#(IF).resolve_bindings" id=link1583 onMouseOver="ShowTip(event, 'tt1578', 'link1583')" onMouseOut="HideTip('tt1578')" class=IParent>uvm_port_base#(IF)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><a href="../files/base/uvm_resource-svh.html#Resources" class=ISymbol>Resources</a></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Response API</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.Response_API" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>response_ap</span><div class=ISubIndex><a href="../files/tlm1/uvm_tlm_req_rsp-svh.html#uvm_tlm_req_rsp_channel#(REQ,RSP).response_ap" id=link1584 onMouseOver="ShowTip(event, 'tt1579', 'link1584')" onMouseOut="HideTip('tt1579')" class=IParent>uvm_tlm_req_rsp_channel#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>response_handler</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.response_handler" id=link1585 onMouseOver="ShowTip(event, 'tt1580', 'link1585')" onMouseOut="HideTip('tt1580')" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Responses</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP).Responses" class=IParent>uvm_sequencer_param_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>result</span><div class=ISubIndex><a href="../files/base/uvm_comparer-svh.html#uvm_comparer.result" id=link1586 onMouseOver="ShowTip(event, 'tt1581', 'link1586')" onMouseOut="HideTip('tt1581')" class=IParent>uvm_comparer</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>resume</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.resume" id=link1587 onMouseOver="ShowTip(event, 'tt1582', 'link1587')" onMouseOut="HideTip('tt1582')" class=IParent>uvm_component</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Retrieving the factory</span><div class=ISubIndex><a href="../files/base/uvm_factory-svh.html#uvm_factory.Retrieving_the_factory" class=IParent>uvm_factory</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>rg</span><div class=ISubIndex><a href="../files/reg/sequences/uvm_reg_mem_shared_access_seq-svh.html#uvm_reg_shared_access_seq.rg" id=link1588 onMouseOver="ShowTip(event, 'tt1583', 'link1588')" onMouseOut="HideTip('tt1583')" class=IParent>uvm_reg_shared_access_seq</a><a href="../files/reg/sequences/uvm_reg_access_seq-svh.html#uvm_reg_single_access_seq.rg" id=link1589 onMouseOver="ShowTip(event, 'tt1584', 'link1589')" onMouseOut="HideTip('tt1584')" class=IParent>uvm_reg_single_access_seq</a><a href="../files/reg/sequences/uvm_reg_bit_bash_seq-svh.html#uvm_reg_single_bit_bash_seq.rg" id=link1590 onMouseOver="ShowTip(event, 'tt1585', 'link1590')" onMouseOut="HideTip('tt1585')" class=IParent>uvm_reg_single_bit_bash_seq</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>rsp</span><div class=ISubIndex><a href="../files/seq/uvm_sequence-svh.html#uvm_sequence#(REQ,RSP).rsp" id=link1591 onMouseOver="ShowTip(event, 'tt1586', 'link1591')" onMouseOut="HideTip('tt1586')" class=IParent>uvm_sequence#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>rsp_export</span><div class=ISubIndex><a href="../files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP).rsp_export" id=link1592 onMouseOver="ShowTip(event, 'tt1587', 'link1592')" onMouseOut="HideTip('tt1587')" class=IParent>uvm_sequencer_param_base#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>rsp_port</span><div class=ISubIndex><a href="../files/comps/uvm_driver-svh.html#uvm_driver#(REQ,RSP).rsp_port" id=link1593 onMouseOver="ShowTip(event, 'tt1588', 'link1593')" onMouseOut="HideTip('tt1588')" class=IParent>uvm_driver#(REQ,RSP)</a><a href="../files/comps/uvm_push_driver-svh.html#uvm_push_driver#(REQ,RSP).rsp_port" id=link1594 onMouseOver="ShowTip(event, 'tt1589', 'link1594')" onMouseOut="HideTip('tt1589')" class=IParent>uvm_push_driver#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>Run-Time Phasing</span><div class=ISubIndex><a href="../files/seq/uvm_sequence_base-svh.html#uvm_sequence_base.Run-Time_Phasing" class=IParent>uvm_sequence_base</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>run_phase</span><div class=ISubIndex><a href="../files/base/uvm_component-svh.html#uvm_component.run_phase" id=link1595 onMouseOver="ShowTip(event, 'tt1590', 'link1595')" onMouseOut="HideTip('tt1590')" class=IParent>uvm_component</a><a href="../files/seq/uvm_push_sequencer-svh.html#uvm_push_sequencer#(REQ,RSP).run_phase" id=link1596 onMouseOver="ShowTip(event, 'tt1591', 'link1596')" onMouseOut="HideTip('tt1591')" class=IParent>uvm_push_sequencer#(REQ,RSP)</a></div></td></tr><tr><td class=ISymbolPrefix> </td><td class=IEntry><span class=ISymbol>run_test</span><div class=ISubIndex><a href="../files/base/uvm_globals-svh.html#run_test" id=link1597 onMouseOver="ShowTip(event, 'tt1592', 'link1597')" onMouseOut="HideTip('tt1592')" class=IParent>Global</a><a href="../files/base/uvm_root-svh.html#uvm_root.run_test" id=link1598 onMouseOver="ShowTip(event, 'tt1593', 'link1598')" onMouseOut="HideTip('tt1593')" class=IParent>uvm_root</a></div></td></tr><tr><td class=ISymbolPrefix id=ILastSymbolPrefix> </td><td class=IEntry><span class=ISymbol>rw_info</span><div class=ISubIndex><a href="../files/reg/uvm_reg_sequence-svh.html#uvm_reg_frontdoor.rw_info" id=link1599 onMouseOver="ShowTip(event, 'tt1594', 'link1599')" onMouseOut="HideTip('tt1594')" class=IParent>uvm_reg_frontdoor</a></div></td></tr></table> |
| <!--START_ND_TOOLTIPS--> |
| <div class=CToolTip id="tt1485"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual function void raise_objection (</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>obj</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>description</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>count</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>1</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Raises the number of objections for the source <i>object</i> by <i>count</i>, which defaults to 1. </div></div><div class=CToolTip id="tt1486"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual function void raise_objection (</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>obj,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>description</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>count</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>1</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Raise an objection to ending this phase Provides components with greater control over the phase flow for processes which are not implicit objectors to the phase.</div></div><div class=CToolTip id="tt1487"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual function void raised (</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_objection </td> |
| <td class=PParameter nowrap width=100%>objection,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap width=100%>source_obj,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>description,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap width=100%>count</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The <i>raised</i> callback is called when this or a descendant of this component instance raises the specified <i>objection</i>. </div></div><div class=CToolTip id="tt1488"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual function void raised (</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap width=100%>obj,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap width=100%>source_obj,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>description,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap width=100%>count</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Objection callback that is called when a raise_objection has reached <i>obj</i>. </div></div><div class=CToolTip id="tt1489"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual function void raised (</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_objection </td> |
| <td class=PParameter nowrap width=100%>objection,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap width=100%>obj,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap width=100%>source_obj,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>description,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap width=100%>count</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Objection raised callback function. </div></div><div class=CToolTip id="tt1490"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>virtual task read(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_status_e </td> |
| <td class=PParameter nowrap>status,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_addr_t </td> |
| <td class=PParameter nowrap>offset,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_reg_data_t </td> |
| <td class=PParameter nowrap>value,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_path_e </td> |
| <td class=PParameter nowrap>path</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_map </td> |
| <td class=PParameter nowrap>map</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_sequence_base </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>prior</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> -1,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>extension</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Read the current value from a memory location</div></div><div class=CToolTip id="tt1491"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>task read(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_status_e </td> |
| <td class=PParameter nowrap>status,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_addr_t </td> |
| <td class=PParameter nowrap>offset,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_reg_data_t </td> |
| <td class=PParameter nowrap>value,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_path_e </td> |
| <td class=PParameter nowrap>path</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_map </td> |
| <td class=PParameter nowrap>map</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_sequence_base </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>prior</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> -1,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>extension</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Read from a memory location in the region.</div></div><div class=CToolTip id="tt1492"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>virtual task read(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_status_e </td> |
| <td class=PParameter nowrap>status,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_reg_data_t </td> |
| <td class=PParameter nowrap>value,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_path_e </td> |
| <td class=PParameter nowrap>path</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_map </td> |
| <td class=PParameter nowrap>map</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_sequence_base </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>prior</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> -1,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>extension</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Read the current value from this register</div></div><div class=CToolTip id="tt1493"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual task read(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_reg_item </td> |
| <td class=PParameter nowrap width=100%>rw</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| User-defined backdoor read operation.</div></div><div class=CToolTip id="tt1494"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>virtual task read (</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_status_e </td> |
| <td class=PParameter nowrap>status,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_reg_data_t </td> |
| <td class=PParameter nowrap>value,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_path_e </td> |
| <td class=PParameter nowrap>path</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_map </td> |
| <td class=PParameter nowrap>map</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_sequence_base </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>prior</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> -1,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>extension</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Read the current value from this field</div></div><div class=CToolTip id="tt1495"><div class=CMethod>Reads the next value out of the DUT FIFO. </div></div><div class=CToolTip id="tt1496"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function T read(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>accessor</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Return the object stored in the resource container. </div></div><div class=CToolTip id="tt1497"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>virtual task read(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>input longint </td> |
| <td class=PType nowrap>unsigned </td> |
| <td class=PParameter nowrap>idx,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_status_e </td> |
| <td class=PParameter nowrap>status,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_reg_data_t </td> |
| <td class=PParameter nowrap>value,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_path_e </td> |
| <td class=PParameter nowrap>path</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_map </td> |
| <td class=PParameter nowrap>map</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_sequence_base </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>extension</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Read the current value from a virtual register</div></div><div class=CToolTip id="tt1498"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>virtual task read(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>input longint </td> |
| <td class=PType nowrap>unsigned </td> |
| <td class=PParameter nowrap>idx,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_status_e </td> |
| <td class=PParameter nowrap>status,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_reg_data_t </td> |
| <td class=PParameter nowrap>value,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_path_e </td> |
| <td class=PParameter nowrap>path</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_map </td> |
| <td class=PParameter nowrap>map</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_sequence_base </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>extension</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Read the current value from a virtual field</div></div><div class=CToolTip id="tt1499"><div class=CGroup>read and write provide a type-safe interface for getting and setting the object in the resource container. </div></div><div class=CToolTip id="tt1500"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>static function bit read_by_name(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>scope,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>inout </td> |
| <td class=PType nowrap>T </td> |
| <td class=PParameter nowrap>val,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>accessor</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| locate a resource by <i>name</i> and <i>scope</i> and read its value. </div></div><div class=CToolTip id="tt1501"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>static function bit read_by_type(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>scope,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>inout </td> |
| <td class=PType nowrap>T </td> |
| <td class=PParameter nowrap>val,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>accessor</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Read a value by type. </div></div><div class=CToolTip id="tt1502"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual function void read_func(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_reg_item </td> |
| <td class=PParameter nowrap width=100%>rw</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| User-defined backdoor read operation.</div></div><div class=CToolTip id="tt1503"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>virtual task read_mem(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_mem </td> |
| <td class=PParameter nowrap>mem,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_status_e </td> |
| <td class=PParameter nowrap>status,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_addr_t </td> |
| <td class=PParameter nowrap>offset,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_reg_data_t </td> |
| <td class=PParameter nowrap>value,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_path_e </td> |
| <td class=PParameter nowrap>path</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_map </td> |
| <td class=PParameter nowrap>map</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>prior</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> -1,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>extension</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reads the given memory <i>mem</i> using uvm_mem::read, supplying ‘this’ as the <i>parent</i> argument. </div></div><div class=CToolTip id="tt1504"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>virtual task read_mem_by_name(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_status_e </td> |
| <td class=PParameter nowrap>status,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_addr_t </td> |
| <td class=PParameter nowrap>offset,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_reg_data_t </td> |
| <td class=PParameter nowrap>data,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_path_e </td> |
| <td class=PParameter nowrap>path</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_map </td> |
| <td class=PParameter nowrap>map</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_sequence_base </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>prior</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> -1,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>extension</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Read the named memory</div></div><div class=CToolTip id="tt1505"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>virtual task read_reg(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg </td> |
| <td class=PParameter nowrap>rg,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_status_e </td> |
| <td class=PParameter nowrap>status,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_reg_data_t </td> |
| <td class=PParameter nowrap>value,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_path_e </td> |
| <td class=PParameter nowrap>path</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_map </td> |
| <td class=PParameter nowrap>map</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>prior</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> -1,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>extension</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reads the given register <i>rg</i> using uvm_reg::read, supplying ‘this’ as the <i>parent</i> argument. </div></div><div class=CToolTip id="tt1506"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>virtual task read_reg_by_name(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_status_e </td> |
| <td class=PParameter nowrap>status,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>output </td> |
| <td class=PType nowrap>uvm_reg_data_t </td> |
| <td class=PParameter nowrap>data,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_path_e </td> |
| <td class=PParameter nowrap>path</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> UVM_DEFAULT_PATH,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_reg_map </td> |
| <td class=PParameter nowrap>map</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_sequence_base </td> |
| <td class=PParameter nowrap>parent</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>prior</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> -1,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>extension</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>input </td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Read the named register</div></div><div class=CToolTip id="tt1507"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function uvm_mem_mam_cfg reconfigure(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_mem_mam_cfg </td> |
| <td class=PParameter nowrap>cfg</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reconfigure the manager</div></div><div class=CToolTip id="tt1508"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function void record (</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_recorder </td> |
| <td class=PParameter nowrap>recorder</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The <i>record</i> method deep-records this object’s properties according to an optional <i>recorder</i> policy. </div></div><div class=CToolTip id="tt1509"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit record_all_messages = 0 |
| </td></tr></table></blockquote>A flag to force recording of all messages (add UVM_RM_RECORD action)</div></div><div class=CToolTip id="tt1510"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function integer record_error_tr (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>stream_name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"main",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>info</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>label</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"error_tr",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>desc</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>time </td> |
| <td class=PParameter nowrap>error_time</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>0,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>bit </td> |
| <td class=PParameter nowrap>keep_active</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This function marks an error transaction by a component. </div></div><div class=CToolTip id="tt1511"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function integer record_event_tr (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>stream_name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"main",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>info</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>null,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>label</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"event_tr",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>desc</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>"",</td> |
| </tr><tr><td> </td> <td class=PType nowrap>time </td> |
| <td class=PParameter nowrap>event_time</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>0,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>bit </td> |
| <td class=PParameter nowrap>keep_active</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| This function marks an event transaction by a component.</div></div><div class=CToolTip id="tt1512"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function void record_field(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_bitstream_t </td> |
| <td class=PParameter nowrap>value,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>size,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_radix_enum </td> |
| <td class=PParameter nowrap>radix</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>UVM_NORADIX</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Records an integral field (less than or equal to 4096 bits).</div></div><div class=CToolTip id="tt1513"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function void record_field_int(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_integral_t </td> |
| <td class=PParameter nowrap>value,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>size,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_radix_enum </td> |
| <td class=PParameter nowrap>radix</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>UVM_NORADIX</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Records an integral field (less than or equal to 64 bits).</div></div><div class=CToolTip id="tt1514"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function void record_field_real(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>real </td> |
| <td class=PParameter nowrap width=100%>value</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Records a real field.</div></div><div class=CToolTip id="tt1515"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function void record_generic(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>name,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>value,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>type_name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Records a name/value pair, where <i>value</i> has been converted to a string.</div></div><div class=CToolTip id="tt1516"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function void record_object(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap width=100%>value</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Records an object field.</div></div><div class=CToolTip id="tt1517"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function void record_read_access(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>accessor</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| </div></div><div class=CToolTip id="tt1518"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function void record_string(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>value</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Records a string field.</div></div><div class=CToolTip id="tt1519"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function void record_time(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap width=100%>name,</td> |
| </tr><tr><td> </td> <td class=PType nowrap>time </td> |
| <td class=PParameter nowrap width=100%>value</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Records a time field.</div></div><div class=CToolTip id="tt1520"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function void record_write_access(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_object </td> |
| <td class=PParameter nowrap>accessor</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| </div></div><div class=CToolTip id="tt1521"><div class=CGroup>These methods comprise the component-based transaction recording interface. </div></div><div class=CToolTip id="tt1522"><div class=CGroup>The recording macros assist users who implement the uvm_object::do_record method. </div></div><div class=CToolTip id="tt1523"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY |
| </td></tr></table></blockquote>Sets the recursion policy for recording objects.</div></div><div class=CToolTip id="tt1524"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>bit reference = 1 |
| </td></tr></table></blockquote>Controls whether to print a unique reference ID for object handles. </div></div><div class=CToolTip id="tt1525"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=2>uvm_analysis_port #(</td> </tr><tr><td> </td> <td class=PParameter nowrap width=100%>uvm_reg_item</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=2>) reg_ap</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Analysis output port that publishes uvm_reg_item transactions converted from bus transactions received on <i>bus_in</i>.</div></div><div class=CToolTip id="tt1526"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>protected uvm_reg_single_access_seq reg_seq |
| </td></tr></table></blockquote>The sequence used to test one register</div></div><div class=CToolTip id="tt1527"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>protected uvm_reg_single_bit_bash_seq reg_seq |
| </td></tr></table></blockquote>The sequence used to test one register</div></div><div class=CToolTip id="tt1528"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>protected uvm_reg_shared_access_seq reg_seq |
| </td></tr></table></blockquote>The sequence used to test one register</div></div><div class=CToolTip id="tt1529"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=2>uvm_sequencer #(</td> </tr><tr><td> </td> <td class=PParameter nowrap width=100%>uvm_reg_item</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=2>) reg_seqr</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Layered upstream “register” sequencer.</div></div><div class=CToolTip id="tt1530"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=4>pure virtual function uvm_sequence_item reg2bus(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>const ref </td> |
| <td class=PType nowrap>uvm_reg_bus_op </td> |
| <td class=PParameter nowrap width=100%>rw</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=4>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Extensions of this class <i>must</i> implement this method to convert the specified uvm_reg_bus_op to a corresponding uvm_sequence_item subtype that defines the bus transaction.</div></div><div class=CToolTip id="tt1531"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=4>virtual function uvm_sequence_item reg2bus(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>const ref </td> |
| <td class=PType nowrap>uvm_reg_bus_op </td> |
| <td class=PParameter nowrap width=100%>rw</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=4>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Converts a uvm_reg_bus_op struct to a uvm_tlm_gp item.</div></div><div class=CToolTip id="tt1532"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual function void register (</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_object_wrapper </td> |
| <td class=PParameter nowrap width=100%>obj</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Registers the given proxy object, <i>obj</i>, with the factory.</div></div><div class=CToolTip id="tt1533"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>pure virtual function void register (</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_object_wrapper </td> |
| <td class=PParameter nowrap width=100%>obj</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Registers the given proxy object, <i>obj</i>, with the factory. </div></div><div class=CToolTip id="tt1534"><div class=CSection>This section defines sequences that test DUT register access via the available frontdoor and backdoor paths defined in the provided register model.</div></div><div class=CToolTip id="tt1535"><div class=CSection>This section defines the base class used for all register callback extensions. </div></div><div class=CToolTip id="tt1536"><div class=CSection>The UVM register layer defines several base classes that, when properly extended, abstract the read/write operations to registers and memories in a design-under-verification.</div></div><div class=CToolTip id="tt1537"><div class=CSection>This section defines the base classes used for register stimulus generation.</div></div><div class=CToolTip id="tt1538"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void release_all_regions() |
| </td></tr></table></blockquote>Forcibly release all allocated memory regions.</div></div><div class=CToolTip id="tt1539"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function void release_region(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_mem_region </td> |
| <td class=PParameter nowrap width=100%>region</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Release the specified region</div></div><div class=CToolTip id="tt1540"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void release_region() |
| </td></tr></table></blockquote>Release this region</div></div><div class=CToolTip id="tt1541"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void release_region() |
| </td></tr></table></blockquote>Dynamically un-implement a virtual register array</div></div><div class=CToolTip id="tt1542"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>function void remove (</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_component </td> |
| <td class=PParameter nowrap width=100%>comp</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Remove a single component to the set of components being monitored. </div></div><div class=CToolTip id="tt1543"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>static function void remove(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_reg </td> |
| <td class=PParameter nowrap width=100%>rg</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Remove this callback from the specified register and its contained fields.</div></div><div class=CToolTip id="tt1544"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>static function void remove(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_reg </td> |
| <td class=PParameter nowrap width=100%>rg</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Remove this callback from the specified register and its contained fields.</div></div><div class=CToolTip id="tt1545"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual function void remove_sequence(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_object_wrapper </td> |
| <td class=PParameter nowrap width=100%>seq_type</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Removes the given sequence type from this sequence library instance. </div></div><div class=CToolTip id="tt1546"><div class=CSection>This set of macros provides wrappers around the uvm_report_* Reporting functions. </div></div><div class=CToolTip id="tt1547"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual function void report_phase(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_phase </td> |
| <td class=PParameter nowrap width=100%>phase</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The uvm_report_phase phase implementation method.</div></div><div class=CToolTip id="tt1548"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual function void report_summarize(</td> </tr><tr><td> </td> <td class=PType nowrap>UVM_FILE </td> |
| <td class=PParameter nowrap>file</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Outputs statistical information on the reports issued by this central report server. </div></div><div class=CToolTip id="tt1549"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>pure virtual function void report_summarize(</td> </tr><tr><td> </td> <td class=PType nowrap>UVM_FILE </td> |
| <td class=PParameter nowrap>file</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Outputs statistical information on the reports issued by this central report server. </div></div><div class=CToolTip id="tt1550"><div class=CGroup>The reporting classes provide a facility for issuing reports with consistent formatting. </div></div><div class=CToolTip id="tt1551"><div class=CGroup>Sequence items and sequences will use the sequencer which they are associated with for reporting messages. </div></div><div class=CToolTip id="tt1552"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>REQ req |
| </td></tr></table></blockquote>The sequence contains a field of the request type called req. </div></div><div class=CToolTip id="tt1553"><div class=CPort>This export provides the blocking put interface whose default implementation produces an error. </div></div><div class=CToolTip id="tt1554"><div class=CPort>The push sequencer requires access to a blocking put interface. </div></div><div class=CToolTip id="tt1555"><div class=CPort>Transactions passed via <i>put</i> or <i>try_put</i> (via any port connected to the put_request_export) are sent out this port via its write method.</div></div><div class=CToolTip id="tt1556"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>function uvm_mem_region request_region(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>int </td> |
| <td class=PType nowrap>unsigned </td> |
| <td class=PParameter nowrap>n_bytes,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>uvm_mem_mam_policy </td> |
| <td class=PParameter nowrap>alloc</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> null,</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Request and reserve a memory region</div></div><div class=CToolTip id="tt1557"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void reseed () |
| </td></tr></table></blockquote>Calls <i>srandom</i> on the object to reseed the object using the UVM seeding mechanism, which sets the seed based on type name and instance name instead of based on instance position in a thread.</div></div><div class=CToolTip id="tt1558"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=6>function uvm_mem_region reserve_region(</td> </tr><tr><td> </td> <td class=PTypePrefix nowrap>bit </td> |
| <td class=PType nowrap>[63:0] </td> |
| <td class=PParameter nowrap>start_offset,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap>int </td> |
| <td class=PType nowrap>unsigned </td> |
| <td class=PParameter nowrap>n_bytes,</td> |
| <td class=PDefaultValuePrefix> </td> |
| <td class=PDefaultValue width=100%></td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>fname</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "",</td> |
| </tr><tr><td> </td> <td class=PTypePrefix nowrap></td> |
| <td class=PType nowrap>int </td> |
| <td class=PParameter nowrap>lineno</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=6>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reserve a specific memory region</div></div><div class=CToolTip id="tt1559"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual function void reset (</td> </tr><tr><td> </td> <td class=PType nowrap>bit </td> |
| <td class=PParameter nowrap>wakeup</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>1</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Resets the barrier. </div></div><div class=CToolTip id="tt1560"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual function void reset (</td> </tr><tr><td> </td> <td class=PType nowrap>bit </td> |
| <td class=PParameter nowrap>wakeup</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> 0</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Resets the event to its off state. </div></div><div class=CToolTip id="tt1561"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual function void reset(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>kind</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "HARD"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reset the desired/mirrored value for this register.</div></div><div class=CToolTip id="tt1562"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual function void reset(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>kind</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "HARD"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reset the mirror for this block.</div></div><div class=CToolTip id="tt1563"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual function void reset(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>kind</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "HARD"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reset the desired/mirrored value for this field.</div></div><div class=CToolTip id="tt1564"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual function void reset(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>kind</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "SOFT"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reset the mirror for all registers in this address map.</div></div><div class=CToolTip id="tt1565"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void reset() |
| </td></tr></table></blockquote>Reset the value to 0</div></div><div class=CToolTip id="tt1566"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>function void reset(</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>kind</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%> "HARD"</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reset the access semaphore</div></div><div class=CToolTip id="tt1567"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual task reset_blk(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_reg_block </td> |
| <td class=PParameter nowrap width=100%>blk</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reset the DUT that corresponds to the specified block abstraction class.</div></div><div class=CToolTip id="tt1568"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual task reset_blk(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_reg_block </td> |
| <td class=PParameter nowrap width=100%>blk</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reset the DUT that corresponds to the specified block abstraction class.</div></div><div class=CToolTip id="tt1569"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual task reset_blk(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_reg_block </td> |
| <td class=PParameter nowrap width=100%>blk</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reset the DUT that corresponds to the specified block abstraction class.</div></div><div class=CToolTip id="tt1570"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual task reset_blk(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_reg_block </td> |
| <td class=PParameter nowrap width=100%>blk</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reset the DUT that corresponds to the specified block abstraction class.</div></div><div class=CToolTip id="tt1571"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual task reset_blk(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_reg_block </td> |
| <td class=PParameter nowrap width=100%>blk</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reset the DUT that corresponds to the specified block abstraction class.</div></div><div class=CToolTip id="tt1572"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual task reset_blk(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_reg_block </td> |
| <td class=PParameter nowrap width=100%>blk</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Reset the DUT that corresponds to the specified block abstraction class.</div></div><div class=CToolTip id="tt1573"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual task reset_phase(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_phase </td> |
| <td class=PParameter nowrap width=100%>phase</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The uvm_reset_phase phase implementation method.</div></div><div class=CToolTip id="tt1574"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void reset_quit_count() |
| </td></tr></table></blockquote>Set, get, increment, or reset to 0 the quit count, i.e., the number of COUNT actions issued.</div></div><div class=CToolTip id="tt1575"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void reset_report_handler |
| </td></tr></table></blockquote>Resets the underlying report handler to its default settings. </div></div><div class=CToolTip id="tt1576"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>function void reset_severity_counts() |
| </td></tr></table></blockquote>Set, get, or increment the counter for the given severity, or reset all severity counters to 0.</div></div><div class=CToolTip id="tt1577"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void resolve_bindings () |
| </td></tr></table></blockquote>Processes all port, export, and imp connections. </div></div><div class=CToolTip id="tt1578"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual function void resolve_bindings() |
| </td></tr></table></blockquote>This callback is called just before entering the end_of_elaboration phase. </div></div><div class=CToolTip id="tt1579"><div class=CPort>Transactions passed via <i>put</i> or <i>try_put</i> (via any port connected to the put_response_export) are sent out this port via its write method.</div></div><div class=CToolTip id="tt1580"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual function void response_handler(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_sequence_item </td> |
| <td class=PParameter nowrap width=100%>response</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| When the use_response_handler bit is set to 1, this virtual task is called by the sequencer for each response that arrives for this sequence.</div></div><div class=CToolTip id="tt1581"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>int unsigned result = 0 |
| </td></tr></table></blockquote>This bit stores the number of miscompares for a given compare operation. </div></div><div class=CToolTip id="tt1582"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>virtual task resume () |
| </td></tr></table></blockquote>Resume this component.</div></div><div class=CToolTip id="tt1583"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_reg rg |
| </td></tr></table></blockquote>The register to be tested</div></div><div class=CToolTip id="tt1584"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_reg rg |
| </td></tr></table></blockquote>The register to be tested</div></div><div class=CToolTip id="tt1585"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_reg rg |
| </td></tr></table></blockquote>The register to be tested</div></div><div class=CToolTip id="tt1586"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>RSP rsp |
| </td></tr></table></blockquote>The sequence contains a field of the response type called rsp. </div></div><div class=CToolTip id="tt1587"><div class=CPort>Drivers or monitors can connect to this port to send responses to the sequencer. </div></div><div class=CToolTip id="tt1588"><div class=CPort>This port provides an alternate way of sending responses back to the originating sequencer. </div></div><div class=CToolTip id="tt1589"><div class=CPort>This analysis port is used to send response transactions back to the originating sequencer.</div></div><div class=CToolTip id="tt1590"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>virtual task run_phase(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_phase </td> |
| <td class=PParameter nowrap width=100%>phase</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The uvm_run_phase phase implementation method.</div></div><div class=CToolTip id="tt1591"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=3>task run_phase(</td> </tr><tr><td> </td> <td class=PType nowrap>uvm_phase </td> |
| <td class=PParameter nowrap width=100%>phase</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=3>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| The push sequencer continuously selects from its list of available sequences and sends the next item from the selected sequence out its req_port using req_port.put(item). </div></div><div class=CToolTip id="tt1592"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>task run_test (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>test_name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Convenience function for uvm_top.run_test(). </div></div><div class=CToolTip id="tt1593"><div class=CMethod> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype> |
| <tr><td><table border=0 cellspacing=0 cellpadding=0><tr> |
| <td class=PBeforeParameters colspan=5>virtual task run_test (</td> </tr><tr><td> </td> <td class=PType nowrap>string </td> |
| <td class=PParameter nowrap>test_name</td> |
| <td class=PDefaultValuePrefix> = </td> |
| <td class=PDefaultValue width=100%>""</td> |
| </tr> |
| <tr> |
| <td class=PAfterParameters colspan=5>)</td></tr> |
| </table></td></tr> |
| </table></blockquote> |
| Phases all components through all registered phases. </div></div><div class=CToolTip id="tt1594"><div class=CVariable> |
| <blockquote><table border=0 cellspacing=0 cellpadding=0 class=Prototype><tr><td>uvm_reg_item rw_info |
| </td></tr></table></blockquote>Holds information about the register being read or written</div></div><!--END_ND_TOOLTIPS--> |
| |
| </div><!--Index--> |
| |
| |
| <script language=JavaScript><!-- |
| if (browserType) {if (browserVer) {document.write("</div>"); }document.write("</div>");}// --></script></body></html> |