blob: 9a0eda70758b4d93be252b04a8292ad398e683d4 [file] [log] [blame] [edit]
********************************************
* SURELOG System Verilog Compiler/Linter *
********************************************
[INFO :CM0023] Creating log file ./slpp_unit/surelog.log.
[INFO :CM0024] Executing with 4 threads.
[INFO :CM0020] Separate compilation-unit mode is on.
[INFO :PP0122] Preprocessing source file "/home/alain/Surelog/SVIncCompil/dist/surelog/bin/../sv/builtin.sv".
[INFO :PP0122] Preprocessing source file "t_sv_bus_mux_demux/sv_bus_mux_demux_def.sv".
[INFO :PP0122] Preprocessing source file "t_sv_bus_mux_demux/sv_bus_mux_demux_demux.sv".
[INFO :PP0122] Preprocessing source file "t_sv_bus_mux_demux/sv_bus_mux_demux_mux.sv".
[INFO :PP0122] Preprocessing source file "t_sv_bus_mux_demux/sv_bus_mux_demux_wrap.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/ac.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/ac_ana.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/ac_dig.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/adrdec.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/chip.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/cpu.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/genbus_if.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/pad_gnd.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/pad_gpio.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/pad_vdd.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/pads.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/pads_h.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/pads_if.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/pinout_h.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/ports.sv".
[ERROR:PP0102] t_sv_cpu_code/ports.sv:44 Unknown macro "PACKED".
[ERROR:PP0102] t_sv_cpu_code/ports.sv:49 Unknown macro "PACKED".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/ports_h.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/program_h.sv".
[INFO :PP0123] Preprocessing include file "rom.sv".
[ERROR:PP0101] t_sv_cpu_code/program_h.sv:31 Cannot open include file "rom.sv".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/rom.sv".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:18 Unknown macro "LDI".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:19 Unknown macro "LDI".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:20 Unknown macro "LDI".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:21 Unknown macro "LDI".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:23 Unknown macro "STS".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:24 Unknown macro "STS".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:25 Unknown macro "STS".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:26 Unknown macro "STS".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:28 Unknown macro "LDS".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:29 Unknown macro "LDS".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:30 Unknown macro "LDS".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:31 Unknown macro "LDS".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:33 Unknown macro "JMP".
[ERROR:PP0102] t_sv_cpu_code/rom.sv:35 Unknown macro "EOP".
[INFO :PP0122] Preprocessing source file "t_sv_cpu_code/timescale.sv".
[INFO :PP0122] Preprocessing source file "tsub/t_flag_f_tsub.v".
[INFO :PP0122] Preprocessing source file "tsub/t_flag_f_tsub_inc.v".
[INFO :PP0122] Preprocessing source file "t_EXAMPLE.v".
[INFO :PP0122] Preprocessing source file "t_a_first_cc.v".
[INFO :PP0122] Preprocessing source file "t_altera_lpm.v".
[INFO :PP0122] Preprocessing source file "t_alw_combdly.v".
[INFO :PP0122] Preprocessing source file "t_alw_dly.v".
[INFO :PP0122] Preprocessing source file "t_alw_nosplit.v".
[INFO :PP0122] Preprocessing source file "t_alw_reorder.v".
[INFO :PP0122] Preprocessing source file "t_alw_split.v".
[INFO :PP0122] Preprocessing source file "t_alw_split_rst.v".
[INFO :PP0122] Preprocessing source file "t_alw_splitord.v".
[INFO :PP0122] Preprocessing source file "t_array_backw_index_bad.v".
[INFO :PP0122] Preprocessing source file "t_array_compare.v".
[INFO :PP0122] Preprocessing source file "t_array_interface.v".
[INFO :PP0122] Preprocessing source file "t_array_list_bad.v".
[INFO :PP0122] Preprocessing source file "t_array_mda.v".
[INFO :PP0122] Preprocessing source file "t_array_packed_sysfunct.v".
[INFO :PP0122] Preprocessing source file "t_array_pattern_2d.v".
[INFO :PP0122] Preprocessing source file "t_array_pattern_bad.v".
[INFO :PP0122] Preprocessing source file "t_array_pattern_packed.v".
[INFO :PP0122] Preprocessing source file "t_array_pattern_unpacked.v".
[INFO :PP0122] Preprocessing source file "t_array_query.v".
[INFO :PP0122] Preprocessing source file "t_array_rev.v".
[INFO :PP0122] Preprocessing source file "t_array_type_methods.v".
[INFO :PP0122] Preprocessing source file "t_arraysel_wide.v".
[INFO :PP0122] Preprocessing source file "t_assert_basic.v".
[INFO :PP0122] Preprocessing source file "t_assert_casez.v".
[INFO :PP0122] Preprocessing source file "t_assert_comp.v".
[INFO :PP0122] Preprocessing source file "t_assert_comp_bad.v".
[INFO :PP0122] Preprocessing source file "t_assert_cover.v".
[INFO :PP0122] Preprocessing source file "t_assert_dup_bad.v".
[INFO :PP0122] Preprocessing source file "t_assert_elab.v".
[INFO :PP0122] Preprocessing source file "t_assert_property.v".
[INFO :PP0122] Preprocessing source file "t_assert_question.v".
[INFO :PP0122] Preprocessing source file "t_assert_synth.v".
[INFO :PP0122] Preprocessing source file "t_assign_inline.v".
[INFO :PP0122] Preprocessing source file "t_attr_parenstar.v".
[INFO :PP0122] Preprocessing source file "t_bench_mux4k.v".
[INFO :PP0122] Preprocessing source file "t_bind.v".
[INFO :PP0122] Preprocessing source file "t_bind2.v".
[INFO :PP0122] Preprocessing source file "t_bitsel_const_bad.v".
[INFO :PP0122] Preprocessing source file "t_bitsel_enum.v".
[INFO :PP0122] Preprocessing source file "t_bitsel_slice.v".
[INFO :PP0122] Preprocessing source file "t_bitsel_struct.v".
[INFO :PP0122] Preprocessing source file "t_bitsel_struct2.v".
[INFO :PP0122] Preprocessing source file "t_bitsel_struct3.v".
[INFO :PP0122] Preprocessing source file "t_bitsel_wire_array_bad.v".
[INFO :PP0122] Preprocessing source file "t_blocking.v".
[INFO :PP0122] Preprocessing source file "t_case_66bits.v".
[INFO :PP0122] Preprocessing source file "t_case_auto1.v".
[INFO :PP0122] Preprocessing source file "t_case_deep.v".
[INFO :PP0122] Preprocessing source file "t_case_default_bad.v".
[INFO :PP0122] Preprocessing source file "t_case_dupitems.v".
[INFO :PP0122] Preprocessing source file "t_case_genx_bad.v".
[INFO :PP0122] Preprocessing source file "t_case_group.v".
[INFO :PP0122] Preprocessing source file "t_case_huge.v".
[INFO :PP0122] Preprocessing source file "t_case_huge_sub.v".
[INFO :PP0122] Preprocessing source file "t_case_huge_sub2.v".
[INFO :PP0122] Preprocessing source file "t_case_huge_sub3.v".
[INFO :PP0122] Preprocessing source file "t_case_huge_sub4.v".
[INFO :PP0122] Preprocessing source file "t_case_inside.v".
[INFO :PP0122] Preprocessing source file "t_case_itemwidth.v".
[INFO :PP0122] Preprocessing source file "t_case_nest.v".
[INFO :PP0122] Preprocessing source file "t_case_onehot.v".
[INFO :PP0122] Preprocessing source file "t_case_orig.v".
[INFO :PP0122] Preprocessing source file "t_case_reducer.v".
[INFO :PP0122] Preprocessing source file "t_case_wild.v".
[INFO :PP0122] Preprocessing source file "t_case_write1.v".
[INFO :PP0123] Preprocessing include file "verilated.v".
[ERROR:PP0101] t_case_write1.v:6 Cannot open include file "verilated.v".
[ERROR:PP0102] t_case_write1.v:37 Unknown macro "TEST_OBJ_DIR".
[ERROR:PP0102] t_case_write1.v:38 Unknown macro "TEST_OBJ_DIR".
[INFO :PP0122] Preprocessing source file "t_case_write1_tasks.v".
[ERROR:PP0101] t_case_write1_tasks.v:6 Cannot open include file "verilated.v".
[INFO :PP0122] Preprocessing source file "t_case_write2.v".
[ERROR:PP0101] t_case_write2.v:6 Cannot open include file "verilated.v".
[ERROR:PP0102] t_case_write2.v:37 Unknown macro "TEST_OBJ_DIR".
[ERROR:PP0102] t_case_write2.v:38 Unknown macro "TEST_OBJ_DIR".
[INFO :PP0122] Preprocessing source file "t_case_write2_tasks.v".
[ERROR:PP0101] t_case_write2_tasks.v:6 Cannot open include file "verilated.v".
[INFO :PP0122] Preprocessing source file "t_case_x.v".
[INFO :PP0122] Preprocessing source file "t_case_x_bad.v".
[INFO :PP0122] Preprocessing source file "t_case_zx_bad.v".
[INFO :PP0122] Preprocessing source file "t_cast.v".
[INFO :PP0122] Preprocessing source file "t_cdc_async_bad.v".
[INFO :PP0122] Preprocessing source file "t_cellarray.v".
[INFO :PP0122] Preprocessing source file "t_chg_first.v".
[INFO :PP0122] Preprocessing source file "t_clk_concat.v".
[INFO :PP0122] Preprocessing source file "t_clk_concat2.v".
[INFO :PP0122] Preprocessing source file "t_clk_concat3.v".
[INFO :PP0122] Preprocessing source file "t_clk_concat4.v".
[INFO :PP0122] Preprocessing source file "t_clk_concat5.v".
[INFO :PP0122] Preprocessing source file "t_clk_concat6.v".
[INFO :PP0122] Preprocessing source file "t_clk_condflop.v".
[INFO :PP0122] Preprocessing source file "t_clk_condflop_nord.v".
[INFO :PP0122] Preprocessing source file "t_clk_dpulse.v".
[INFO :PP0122] Preprocessing source file "t_clk_dsp.v".
[INFO :PP0122] Preprocessing source file "t_clk_first.v".
[INFO :PP0122] Preprocessing source file "t_clk_gater.v".
[INFO :PP0122] Preprocessing source file "t_clk_gen.v".
[INFO :PP0122] Preprocessing source file "t_clk_inp_init.v".
[INFO :PP0122] Preprocessing source file "t_clk_latch.v".
[INFO :PP0122] Preprocessing source file "t_clk_latchgate.v".
[INFO :PP0122] Preprocessing source file "t_clk_powerdn.v".
[INFO :PP0122] Preprocessing source file "t_clk_scope_bad.v".
[INFO :PP0122] Preprocessing source file "t_clk_vecgen1.v".
[INFO :PP0122] Preprocessing source file "t_clocker.v".
[INFO :PP0122] Preprocessing source file "t_concat_large.v".
[INFO :PP0122] Preprocessing source file "t_concat_large_bad.v".
[INFO :PP0122] Preprocessing source file "t_concat_opt.v".
[INFO :PP0122] Preprocessing source file "t_const.v".
[INFO :PP0122] Preprocessing source file "t_const_bad.v".
[INFO :PP0122] Preprocessing source file "t_const_dec_mixed_bad.v".
[INFO :PP0122] Preprocessing source file "t_const_overflow_bad.v".
[INFO :PP0122] Preprocessing source file "t_cover_line.v".
[INFO :PP0122] Preprocessing source file "t_cover_sva_notflat.v".
[INFO :PP0122] Preprocessing source file "t_cover_toggle.v".
[INFO :PP0122] Preprocessing source file "t_crazy_sel.v".
[INFO :PP0122] Preprocessing source file "t_dedupe_clk_gate.v".
[INFO :PP0122] Preprocessing source file "t_dedupe_seq_logic.v".
[INFO :PP0122] Preprocessing source file "t_delay.v".
[INFO :PP0122] Preprocessing source file "t_detectarray_1.v".
[INFO :PP0122] Preprocessing source file "t_detectarray_2.v".
[INFO :PP0122] Preprocessing source file "t_detectarray_3.v".
[INFO :PP0122] Preprocessing source file "t_display.v".
[ERROR:PP0118] t_display.v:13 Unknown escaped sequence '\2'.
[INFO :PP0122] Preprocessing source file "t_display_bad.v".
[INFO :PP0122] Preprocessing source file "t_display_esc_bad.v".
[ERROR:PP0118] t_display_esc_bad.v:8 Unknown escaped sequence '\y'.
[ERROR:PP0118] t_display_esc_bad.v:8 Unknown escaped sequence '\z'.
[INFO :PP0122] Preprocessing source file "t_display_l.v".
[INFO :PP0122] Preprocessing source file "t_display_mcd.v".
[INFO :PP0122] Preprocessing source file "t_display_merge.v".
[INFO :PP0122] Preprocessing source file "t_display_real.v".
[INFO :PP0122] Preprocessing source file "t_display_realtime.v".
[INFO :PP0122] Preprocessing source file "t_display_signed.v".
[INFO :PP0122] Preprocessing source file "t_display_string.v".
[INFO :PP0122] Preprocessing source file "t_display_time.v".
[INFO :PP0122] Preprocessing source file "t_display_wide.v".
[INFO :PP0122] Preprocessing source file "t_dos.v".
[INFO :PP0122] Preprocessing source file "t_dpi_2exp_bad.v".
[INFO :PP0122] Preprocessing source file "t_dpi_accessors.v".
[INFO :PP0123] Preprocessing include file "t_dpi_accessors_macros_inc.vh".
[INFO :PP0123] Preprocessing include file "t_dpi_accessors_inc.vh".
[INFO :PP0122] Preprocessing source file "t_dpi_context.v".
[INFO :PP0122] Preprocessing source file "t_dpi_display.v".
[ERROR:PP0102] t_dpi_display.v:11 Unknown macro "error".
[INFO :PP0122] Preprocessing source file "t_dpi_dup_bad.v".
[INFO :PP0122] Preprocessing source file "t_dpi_exp_bad.v".
[INFO :PP0122] Preprocessing source file "t_dpi_export.v".
[INFO :PP0122] Preprocessing source file "t_dpi_imp_gen.v".
[INFO :PP0122] Preprocessing source file "t_dpi_import.v".
[INFO :PP0122] Preprocessing source file "t_dpi_lib.v".
[INFO :PP0122] Preprocessing source file "t_dpi_logic_bad.v".
[INFO :PP0122] Preprocessing source file "t_dpi_name_bad.v".
[INFO :PP0122] Preprocessing source file "t_dpi_open.v".
[INFO :PP0122] Preprocessing source file "t_dpi_openfirst.v".
[INFO :PP0122] Preprocessing source file "t_dpi_openreg_bad.v".
[INFO :PP0122] Preprocessing source file "t_dpi_qw.v".
[INFO :PP0122] Preprocessing source file "t_dpi_shortcircuit.v".
[INFO :PP0122] Preprocessing source file "t_dpi_shortcircuit2.v".
[INFO :PP0122] Preprocessing source file "t_dpi_string.v".
[INFO :PP0122] Preprocessing source file "t_dpi_sys.v".
[ERROR:PP0102] t_dpi_sys.v:15 Unknown macro "error".
[INFO :PP0122] Preprocessing source file "t_dpi_threads.v".
[ERROR:PP0102] t_dpi_threads.v:19 Unknown macro "error".
[INFO :PP0122] Preprocessing source file "t_dpi_vams.v".
[INFO :PP0122] Preprocessing source file "t_dpi_var.v".
[ERROR:PP0102] t_dpi_var.v:66 Unknown macro "systemc_imp_header".
[ERROR:PP0102] t_dpi_var.v:69 Unknown macro "verilog".
[INFO :PP0122] Preprocessing source file "t_embed1.v".
[INFO :PP0122] Preprocessing source file "t_embed1_child.v".
[INFO :PP0122] Preprocessing source file "t_embed1_wrap.v".
[INFO :PP0122] Preprocessing source file "t_emit_constw.v".
[INFO :PP0122] Preprocessing source file "t_enum.v".
[INFO :PP0122] Preprocessing source file "t_enum_bad_hide.v".
[INFO :PP0122] Preprocessing source file "t_enum_func.v".
[INFO :PP0122] Preprocessing source file "t_enum_int.v".
[INFO :PP0122] Preprocessing source file "t_enum_large_methods.v".
[INFO :PP0122] Preprocessing source file "t_enum_name2.v".
[INFO :PP0122] Preprocessing source file "t_enum_name3.v".
[INFO :PP0122] Preprocessing source file "t_enum_overlap_bad.v".
[INFO :PP0122] Preprocessing source file "t_enum_public.v".
[INFO :PP0122] Preprocessing source file "t_enum_size.v".
[INFO :PP0122] Preprocessing source file "t_enum_type_methods.v".
[INFO :PP0122] Preprocessing source file "t_enum_type_pins.v".
[INFO :PP0122] Preprocessing source file "t_enumeration.v".
[INFO :PP0122] Preprocessing source file "t_extend.v".
[INFO :PP0122] Preprocessing source file "t_extend_class.v".
[ERROR:PP0102] t_extend_class.v:48 Unknown macro "systemc_header".
[ERROR:PP0102] t_extend_class.v:50 Unknown macro "systemc_interface".
[ERROR:PP0102] t_extend_class.v:52 Unknown macro "systemc_ctor".
[ERROR:PP0102] t_extend_class.v:54 Unknown macro "systemc_dtor".
[ERROR:PP0102] t_extend_class.v:56 Unknown macro "verilog".
[INFO :PP0122] Preprocessing source file "t_final.v".
[INFO :PP0122] Preprocessing source file "t_flag_bboxsys.v".
[INFO :PP0122] Preprocessing source file "t_flag_csplit.v".
[INFO :PP0122] Preprocessing source file "t_flag_debug_noleak.v".
[INFO :PP0122] Preprocessing source file "t_flag_debugi9.v".
[INFO :PP0122] Preprocessing source file "t_flag_define.v".
[INFO :PP0122] Preprocessing source file "t_flag_errorlimit_bad.v".
[INFO :PP0122] Preprocessing source file "t_flag_f.v".
[INFO :PP0123] Preprocessing include file "t_flag_f_tsub_inc.v".
[ERROR:PP0101] t_flag_f.v:3 Cannot open include file "t_flag_f_tsub_inc.v".
[INFO :PP0122] Preprocessing source file "t_flag_f__3.v".
[INFO :PP0122] Preprocessing source file "t_flag_fi.v".
[INFO :PP0122] Preprocessing source file "t_flag_future.v".
[INFO :PP0122] Preprocessing source file "t_flag_getenv.v".
[INFO :PP0122] Preprocessing source file "t_flag_language.v".
[INFO :PP0122] Preprocessing source file "t_flag_ldflags.v".
[INFO :PP0122] Preprocessing source file "t_flag_lib.v".
[INFO :PP0122] Preprocessing source file "t_flag_libinc.v".
[INFO :PP0122] Preprocessing source file "t_flag_names.v".
[INFO :PP0122] Preprocessing source file "t_flag_nomod_bad.v".
[INFO :PP0122] Preprocessing source file "t_flag_parameter.v".
[INFO :PP0122] Preprocessing source file "t_flag_relinc.v".
[INFO :PP0122] Preprocessing source file "t_flag_skipidentical.v".
[INFO :PP0122] Preprocessing source file "t_flag_stats.v".
[INFO :PP0122] Preprocessing source file "t_flag_topmod2_bad.v".
[INFO :PP0122] Preprocessing source file "t_flag_topmodule.v".
[INFO :PP0122] Preprocessing source file "t_flag_topmodule_inline.v".
[INFO :PP0122] Preprocessing source file "t_flag_werror.v".
[INFO :PP0122] Preprocessing source file "t_flag_wfatal.v".
[INFO :PP0122] Preprocessing source file "t_flag_woff.v".
[INFO :PP0122] Preprocessing source file "t_flag_xinitial_0.v".
[INFO :PP0122] Preprocessing source file "t_flag_xinitial_unique.v".
[INFO :PP0122] Preprocessing source file "t_for_break.v".
[INFO :PP0122] Preprocessing source file "t_for_comma_bad.v".
[INFO :PP0122] Preprocessing source file "t_for_count.v".
[INFO :PP0122] Preprocessing source file "t_for_funcbound.v".
[INFO :PP0122] Preprocessing source file "t_for_init_bug.v".
[INFO :PP0122] Preprocessing source file "t_for_local.v".
[INFO :PP0122] Preprocessing source file "t_for_loop.v".
[INFO :PP0122] Preprocessing source file "t_foreach.v".
[INFO :PP0122] Preprocessing source file "t_func.v".
[INFO :PP0122] Preprocessing source file "t_func_bad.v".
[INFO :PP0122] Preprocessing source file "t_func_bad2.v".
[INFO :PP0122] Preprocessing source file "t_func_bad_width.v".
[INFO :PP0122] Preprocessing source file "t_func_begin2.v".
[INFO :PP0122] Preprocessing source file "t_func_check.v".
[INFO :PP0122] Preprocessing source file "t_func_const.v".
[INFO :PP0122] Preprocessing source file "t_func_const2_bad.v".
[INFO :PP0122] Preprocessing source file "t_func_const3_bad.v".
[INFO :PP0122] Preprocessing source file "t_func_const_bad.v".
[INFO :PP0122] Preprocessing source file "t_func_const_packed_array_bad.v".
[INFO :PP0122] Preprocessing source file "t_func_const_packed_struct_bad.v".
[INFO :PP0122] Preprocessing source file "t_func_const_packed_struct_bad2.v".
[INFO :PP0122] Preprocessing source file "t_func_const_struct_bad.v".
[INFO :PP0122] Preprocessing source file "t_func_crc.v".
[INFO :PP0122] Preprocessing source file "t_func_default_warn.v".
[INFO :PP0122] Preprocessing source file "t_func_defaults.v".
[INFO :PP0122] Preprocessing source file "t_func_dotted.v".
[INFO :PP0122] Preprocessing source file "t_func_endian.v".
[INFO :PP0122] Preprocessing source file "t_func_first.v".
[INFO :PP0122] Preprocessing source file "t_func_flip.v".
[NOTE :PP0105] t_func_flip.v:7 Multiply defined macro "INT_RANGE",
t_func_flip.v:6 previous definition.
[INFO :PP0122] Preprocessing source file "t_func_gen.v".
[INFO :PP0122] Preprocessing source file "t_func_graphcirc.v".
[INFO :PP0122] Preprocessing source file "t_func_grey.v".
[INFO :PP0122] Preprocessing source file "t_func_lib.v".
[INFO :PP0122] Preprocessing source file "t_func_lib_sub.v".
[INFO :PP0122] Preprocessing source file "t_func_mlog2.v".
[INFO :PP0122] Preprocessing source file "t_func_named.v".
[INFO :PP0122] Preprocessing source file "t_func_noinl.v".
[INFO :PP0122] Preprocessing source file "t_func_numones.v".
[INFO :PP0122] Preprocessing source file "t_func_outfirst.v".
[INFO :PP0122] Preprocessing source file "t_func_outp.v".
[INFO :PP0122] Preprocessing source file "t_func_paramed.v".
[INFO :PP0122] Preprocessing source file "t_func_plog.v".
[INFO :PP0122] Preprocessing source file "t_func_public.v".
[INFO :PP0122] Preprocessing source file "t_func_rand.v".
[INFO :PP0122] Preprocessing source file "t_func_range.v".
[INFO :PP0122] Preprocessing source file "t_func_real_abs.v".
[INFO :PP0122] Preprocessing source file "t_func_real_param.v".
[INFO :PP0122] Preprocessing source file "t_func_regfirst.v".
[INFO :PP0122] Preprocessing source file "t_func_return.v".
[INFO :PP0122] Preprocessing source file "t_func_sum.v".
[INFO :PP0122] Preprocessing source file "t_func_task_bad.v".
[INFO :PP0122] Preprocessing source file "t_func_tie_bad.v".
[INFO :PP0122] Preprocessing source file "t_func_twocall.v".
[INFO :PP0122] Preprocessing source file "t_func_types.v".
[INFO :PP0122] Preprocessing source file "t_func_under.v".
[INFO :PP0122] Preprocessing source file "t_func_under2.v".
[INFO :PP0122] Preprocessing source file "t_func_unit.v".
[INFO :PP0122] Preprocessing source file "t_func_v.v".
[INFO :PP0122] Preprocessing source file "t_func_void.v".
[INFO :PP0122] Preprocessing source file "t_func_void_bad.v".
[INFO :PP0122] Preprocessing source file "t_func_while.v".
[INFO :PP0122] Preprocessing source file "t_func_wide.v".
[INFO :PP0122] Preprocessing source file "t_func_wide_out_bad.v".
[INFO :PP0122] Preprocessing source file "t_gate_array.v".
[INFO :PP0122] Preprocessing source file "t_gate_basic.v".
[INFO :PP0122] Preprocessing source file "t_gate_delref.v".
[INFO :PP0122] Preprocessing source file "t_gate_elim.v".
[INFO :PP0122] Preprocessing source file "t_gate_fdup.v".
[INFO :PP0122] Preprocessing source file "t_gate_implicit.v".
[INFO :PP0122] Preprocessing source file "t_gate_unsup.v".
[INFO :PP0122] Preprocessing source file "t_gated_clk_1.v".
[INFO :PP0122] Preprocessing source file "t_gen_alw.v".
[INFO :PP0122] Preprocessing source file "t_gen_assign.v".
[INFO :PP0122] Preprocessing source file "t_gen_cond_bitrange.v".
[INFO :PP0122] Preprocessing source file "t_gen_cond_bitrange_bad.v".
[INFO :PP0122] Preprocessing source file "t_gen_cond_const.v".
[INFO :PP0122] Preprocessing source file "t_gen_defparam.v".
[INFO :PP0122] Preprocessing source file "t_gen_div0.v".
[INFO :PP0122] Preprocessing source file "t_gen_for.v".
[INFO :PP0122] Preprocessing source file "t_gen_for0.v".
[INFO :PP0122] Preprocessing source file "t_gen_for1.v".
[INFO :PP0122] Preprocessing source file "t_gen_for2.v".
[INFO :PP0122] Preprocessing source file "t_gen_for_overlap.v".
[INFO :PP0122] Preprocessing source file "t_gen_for_shuffle.v".
[INFO :PP0122] Preprocessing source file "t_gen_forif.v".
[INFO :PP0122] Preprocessing source file "t_gen_if.v".
[INFO :PP0122] Preprocessing source file "t_gen_inc.v".
[INFO :PP0122] Preprocessing source file "t_gen_index.v".
[INFO :PP0122] Preprocessing source file "t_gen_intdot.v".
[INFO :PP0122] Preprocessing source file "t_gen_intdot2.v".
[INFO :PP0122] Preprocessing source file "t_gen_local.v".
[INFO :PP0122] Preprocessing source file "t_gen_lsb.v".
[INFO :PP0122] Preprocessing source file "t_gen_mislevel.v".
[INFO :PP0122] Preprocessing source file "t_gen_missing.v".
[ERROR:PP0102] t_gen_missing.v:13 Unknown macro "error".
[INFO :PP0122] Preprocessing source file "t_gen_self_return.v".
[INFO :PP0122] Preprocessing source file "t_gen_upscope.v".
[INFO :PP0122] Preprocessing source file "t_gen_var_bad.v".
[INFO :PP0122] Preprocessing source file "t_generate_fatal_bad.v".
[INFO :PP0122] Preprocessing source file "t_genfor_hier.v".
[INFO :PP0122] Preprocessing source file "t_genvar_misuse_bad.v".
[INFO :PP0122] Preprocessing source file "t_hierarchy_identifier.v".
[INFO :PP0122] Preprocessing source file "t_hierarchy_identifier_bad.v".
[INFO :PP0122] Preprocessing source file "t_hierarchy_unnamed.v".
[INFO :PP0122] Preprocessing source file "t_if_deep.v".
[INFO :PP0122] Preprocessing source file "t_iff.v".
[INFO :PP0122] Preprocessing source file "t_init_concat.v".
[INFO :PP0122] Preprocessing source file "t_initarray_nonarray.v".
[INFO :PP0122] Preprocessing source file "t_initial.v".
[INFO :PP0123] Preprocessing include file "t_initial_inc.vh".
[INFO :PP0122] Preprocessing source file "t_initial_dlyass.v".
[INFO :PP0122] Preprocessing source file "t_initial_edge.v".
[INFO :PP0122] Preprocessing source file "t_inside.v".
[INFO :PP0122] Preprocessing source file "t_inside_wild.v".
[INFO :PP0122] Preprocessing source file "t_inst_aport.v".
[INFO :PP0122] Preprocessing source file "t_inst_array.v".
[INFO :PP0122] Preprocessing source file "t_inst_array_bad.v".
[INFO :PP0122] Preprocessing source file "t_inst_array_partial.v".
[INFO :PP0122] Preprocessing source file "t_inst_ccall.v".
[INFO :PP0122] Preprocessing source file "t_inst_comma.v".
[INFO :PP0122] Preprocessing source file "t_inst_darray.v".
[INFO :PP0122] Preprocessing source file "t_inst_dff.v".
[INFO :PP0122] Preprocessing source file "t_inst_dtree.v".
[INFO :PP0122] Preprocessing source file "t_inst_first.v".
[INFO :PP0122] Preprocessing source file "t_inst_first_a.v".
[INFO :PP0122] Preprocessing source file "t_inst_first_b.v".
[INFO :PP0122] Preprocessing source file "t_inst_implicit.v".
[INFO :PP0122] Preprocessing source file "t_inst_misarray_bad.v".
[INFO :PP0122] Preprocessing source file "t_inst_mism.v".
[INFO :PP0122] Preprocessing source file "t_inst_missing.v".
[INFO :PP0122] Preprocessing source file "t_inst_missing_bad.v".
[INFO :PP0122] Preprocessing source file "t_inst_mnpipe.v".
[INFO :PP0122] Preprocessing source file "t_inst_notunsized.v".
[INFO :PP0122] Preprocessing source file "t_inst_overwide.v".
[INFO :PP0122] Preprocessing source file "t_inst_port_array.v".
[INFO :PP0122] Preprocessing source file "t_inst_prepost.v".
[INFO :PP0122] Preprocessing source file "t_inst_recurse2_bad.v".
[INFO :PP0122] Preprocessing source file "t_inst_recurse_bad.v".
[INFO :PP0122] Preprocessing source file "t_inst_signed.v".
[INFO :PP0122] Preprocessing source file "t_inst_signed1.v".
[INFO :PP0122] Preprocessing source file "t_inst_slice.v".
[INFO :PP0122] Preprocessing source file "t_inst_sv.v".
[INFO :PP0122] Preprocessing source file "t_inst_tree.v".
[INFO :PP0122] Preprocessing source file "t_inst_v2k.v".
[INFO :PP0122] Preprocessing source file "t_inst_wideconst.v".
[INFO :PP0122] Preprocessing source file "t_interface.v".
[INFO :PP0122] Preprocessing source file "t_interface1.v".
[INFO :PP0122] Preprocessing source file "t_interface1_modport.v".
[INFO :PP0122] Preprocessing source file "t_interface2.v".
[INFO :PP0122] Preprocessing source file "t_interface_array.v".
[INFO :PP0122] Preprocessing source file "t_interface_array_bad.v".
[INFO :PP0122] Preprocessing source file "t_interface_array_modport.v".
[INFO :PP0122] Preprocessing source file "t_interface_array_nocolon.v".
[INFO :PP0122] Preprocessing source file "t_interface_array_nocolon_bad.v".
[INFO :PP0122] Preprocessing source file "t_interface_arraymux.v".
[INFO :PP0122] Preprocessing source file "t_interface_bind_public.v".
[INFO :PP0122] Preprocessing source file "t_interface_down.v".
[INFO :PP0122] Preprocessing source file "t_interface_down_gen.v".
[ERROR:PP0102] t_interface_down_gen.v:75 Unknown macro "error".
[INFO :PP0122] Preprocessing source file "t_interface_dups.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen10.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen11.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen12.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen2.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen3.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen4.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen5.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen6.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen7.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen8.v".
[INFO :PP0122] Preprocessing source file "t_interface_gen9.v".
[INFO :PP0122] Preprocessing source file "t_interface_mismodport_bad.v".
[INFO :PP0122] Preprocessing source file "t_interface_missing_bad.v".
[INFO :PP0122] Preprocessing source file "t_interface_modport.v".
[INFO :PP0122] Preprocessing source file "t_interface_modport_bad.v".
[INFO :PP0122] Preprocessing source file "t_interface_modport_export.v".
[INFO :PP0122] Preprocessing source file "t_interface_modport_import.v".
[INFO :PP0122] Preprocessing source file "t_interface_modportlist.v".
[INFO :PP0122] Preprocessing source file "t_interface_mp_func.v".
[INFO :PP0122] Preprocessing source file "t_interface_nest.v".
[INFO :PP0122] Preprocessing source file "t_interface_param1.v".
[INFO :PP0122] Preprocessing source file "t_interface_param2.v".
[INFO :PP0122] Preprocessing source file "t_interface_param_another_bad.v".
[INFO :PP0122] Preprocessing source file "t_interface_parameter_access.v".
[INFO :PP0122] Preprocessing source file "t_interface_size_bad.v".
[INFO :PP0122] Preprocessing source file "t_interface_star.v".
[INFO :PP0122] Preprocessing source file "t_interface_top_bad.v".
[INFO :PP0122] Preprocessing source file "t_interface_twod.v".
[INFO :PP0122] Preprocessing source file "t_interface_typo_bad.v".
[INFO :PP0122] Preprocessing source file "t_interface_wrong_bad.v".
[INFO :PP0122] Preprocessing source file "t_langext_1.v".
[INFO :PP0122] Preprocessing source file "t_langext_2.v".
[INFO :PP0122] Preprocessing source file "t_langext_3.v".
[INFO :PP0122] Preprocessing source file "t_langext_order.v".
[INFO :PP0122] Preprocessing source file "t_langext_order_sub.v".
[INFO :PP0122] Preprocessing source file "t_leak.v".
[INFO :PP0122] Preprocessing source file "t_lint_always_comb_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_always_comb_iface.v".
[INFO :PP0122] Preprocessing source file "t_lint_blksync_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_blksync_loop.v".
[INFO :PP0122] Preprocessing source file "t_lint_block_redecl_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_bsspace_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_colonplus_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_comb_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_comb_use.v".
[INFO :PP0122] Preprocessing source file "t_lint_declfilename.v".
[INFO :PP0122] Preprocessing source file "t_lint_defparam.v".
[INFO :PP0122] Preprocessing source file "t_lint_ifdepth_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_implicit.v".
[INFO :PP0122] Preprocessing source file "t_lint_implicit_def_bad.v".
[ERROR:PP0120] t_lint_implicit_def_bad.v:21 Illegal directive in design element "`resetall".
[INFO :PP0122] Preprocessing source file "t_lint_implicit_port.v".
[INFO :PP0122] Preprocessing source file "t_lint_import_name_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_importstar_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_in_inc_bad.v".
[INFO :PP0123] Preprocessing include file "t_lint_in_inc_bad_1.vh".
[INFO :PP0123] Preprocessing include file "t_lint_in_inc_bad_2.vh".
[INFO :PP0122] Preprocessing source file "t_lint_incabspath.v".
[INFO :PP0123] Preprocessing include file "/dev/null".
[INFO :PP0122] Preprocessing source file "t_lint_infinite.v".
[INFO :PP0122] Preprocessing source file "t_lint_inherit.v".
[INFO :PP0122] Preprocessing source file "t_lint_input_eq_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_latch_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_literal_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_mod_paren_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_modport_dir_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_multidriven_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_once_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_only.v".
[INFO :PP0122] Preprocessing source file "t_lint_pindup_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_pkg_colon_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_realcvt_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_repeat_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_restore_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_rsvd_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_setout_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_subout_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_syncasyncnet_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_unsized_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_unsup_deassign.v".
[INFO :PP0122] Preprocessing source file "t_lint_unsup_mixed.v".
[INFO :PP0122] Preprocessing source file "t_lint_unused.v".
[ERROR:PP0102] t_lint_unused.v:31 Unknown macro "TEST_OBJ_DIR".
[ERROR:PP0102] t_lint_unused.v:34 Unknown macro "TEST_OBJ_DIR".
[INFO :PP0122] Preprocessing source file "t_lint_unused_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_unused_iface.v".
[INFO :PP0122] Preprocessing source file "t_lint_unused_iface_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_width.v".
[INFO :PP0122] Preprocessing source file "t_lint_width_bad.v".
[INFO :PP0122] Preprocessing source file "t_lint_width_genfor.v".
[INFO :PP0122] Preprocessing source file "t_lint_width_genfor_bad.v".
[INFO :PP0122] Preprocessing source file "t_math_arith.v".
[INFO :PP0122] Preprocessing source file "t_math_clog2.v".
[ERROR:PP0107] t_math_clog2.v:26 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:27 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:28 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:29 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:46 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:47 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:48 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:49 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:50 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:51 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:52 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:53 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:54 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:55 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:56 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:57 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[ERROR:PP0107] t_math_clog2.v:58 Too many arguments (1) for macro "CLOG2",
t_math_clog2.v:9 macro definition takes 0.
[INFO :PP0122] Preprocessing source file "t_math_cmp.v".
[INFO :PP0122] Preprocessing source file "t_math_concat.v".
[INFO :PP0122] Preprocessing source file "t_math_concat0.v".
[INFO :PP0122] Preprocessing source file "t_math_concat64.v".
[INFO :PP0122] Preprocessing source file "t_math_concat_sel_bad.v".
[INFO :PP0122] Preprocessing source file "t_math_cond_huge.v".
[INFO :PP0122] Preprocessing source file "t_math_const.v".
[INFO :PP0122] Preprocessing source file "t_math_div.v".
[INFO :PP0122] Preprocessing source file "t_math_div0.v".
[INFO :PP0122] Preprocessing source file "t_math_divw.v".
[INFO :PP0122] Preprocessing source file "t_math_eq.v".
[INFO :PP0122] Preprocessing source file "t_math_equal.v".
[INFO :PP0122] Preprocessing source file "t_math_imm.v".
[INFO :PP0122] Preprocessing source file "t_math_imm2.v".
[INFO :PP0122] Preprocessing source file "t_math_msvc_64.v".
[INFO :PP0122] Preprocessing source file "t_math_mul.v".
[INFO :PP0122] Preprocessing source file "t_math_pick.v".
[INFO :PP0122] Preprocessing source file "t_math_pow.v".
[INFO :PP0122] Preprocessing source file "t_math_pow2.v".
[INFO :PP0122] Preprocessing source file "t_math_pow3.v".
[INFO :PP0122] Preprocessing source file "t_math_pow4.v".
[INFO :PP0122] Preprocessing source file "t_math_pow5.v".
[INFO :PP0122] Preprocessing source file "t_math_pow6.v".
[INFO :PP0122] Preprocessing source file "t_math_precedence.v".
[INFO :PP0122] Preprocessing source file "t_math_real.v".
[INFO :PP0122] Preprocessing source file "t_math_real_public.v".
[INFO :PP0122] Preprocessing source file "t_math_repl.v".
[INFO :PP0122] Preprocessing source file "t_math_reverse.v".
[INFO :PP0122] Preprocessing source file "t_math_shift.v".
[INFO :PP0122] Preprocessing source file "t_math_shift_over_bad.v".
[INFO :PP0122] Preprocessing source file "t_math_shift_rep.v".
[INFO :PP0122] Preprocessing source file "t_math_shift_sel.v".
[INFO :PP0122] Preprocessing source file "t_math_shiftrs.v".
[INFO :PP0122] Preprocessing source file "t_math_sign_extend.v".
[INFO :PP0122] Preprocessing source file "t_math_signed.v".
[INFO :PP0122] Preprocessing source file "t_math_signed2.v".
[INFO :PP0122] Preprocessing source file "t_math_signed3.v".
[INFO :PP0122] Preprocessing source file "t_math_signed4.v".
[INFO :PP0122] Preprocessing source file "t_math_signed5.v".
[WARNI:PP0113] t_math_signed5.v:11 Unused macro argument "vs".
[INFO :PP0122] Preprocessing source file "t_math_signed6.v".
[INFO :PP0122] Preprocessing source file "t_math_signed7.v".
[INFO :PP0122] Preprocessing source file "t_math_signed_wire.v".
[INFO :PP0122] Preprocessing source file "t_math_strwidth.v".
[INFO :PP0122] Preprocessing source file "t_math_svl.v".
[INFO :PP0122] Preprocessing source file "t_math_svl2.v".
[INFO :PP0122] Preprocessing source file "t_math_swap.v".
[INFO :PP0122] Preprocessing source file "t_math_tri.v".
[INFO :PP0122] Preprocessing source file "t_math_trig.v".
[INFO :PP0122] Preprocessing source file "t_math_vgen.v".
[INFO :PP0122] Preprocessing source file "t_math_vliw.v".
[INFO :PP0122] Preprocessing source file "t_math_width.v".
[INFO :PP0122] Preprocessing source file "t_mem.v".
[INFO :PP0122] Preprocessing source file "t_mem_banks.v".
[INFO :PP0122] Preprocessing source file "t_mem_cond.v".
[INFO :PP0122] Preprocessing source file "t_mem_fifo.v".
[INFO :PP0122] Preprocessing source file "t_mem_file.v".
[INFO :PP0122] Preprocessing source file "t_mem_first.v".
[INFO :PP0122] Preprocessing source file "t_mem_func.v".
[INFO :PP0122] Preprocessing source file "t_mem_iforder.v".
[INFO :PP0122] Preprocessing source file "t_mem_multi_io.v".
[INFO :PP0122] Preprocessing source file "t_mem_multi_io2.v".
[INFO :PP0122] Preprocessing source file "t_mem_multi_io3.v".
[INFO :PP0122] Preprocessing source file "t_mem_multi_ref_bad.v".
[INFO :PP0122] Preprocessing source file "t_mem_multidim.v".
[INFO :PP0122] Preprocessing source file "t_mem_multiwire.v".
[INFO :PP0122] Preprocessing source file "t_mem_packed.v".
[INFO :PP0122] Preprocessing source file "t_mem_packed_assign.v".
[INFO :PP0122] Preprocessing source file "t_mem_packed_bad.v".
[INFO :PP0122] Preprocessing source file "t_mem_shift.v".
[INFO :PP0122] Preprocessing source file "t_mem_slice.v".
[INFO :PP0122] Preprocessing source file "t_mem_slice_bad.v".
[INFO :PP0122] Preprocessing source file "t_mem_slice_conc_bad.v".
[INFO :PP0122] Preprocessing source file "t_mem_slice_dtype_bad.v".
[INFO :PP0122] Preprocessing source file "t_mem_slot.v".
[INFO :PP0122] Preprocessing source file "t_mem_twoedge.v".
[INFO :PP0122] Preprocessing source file "t_metacmt_onoff.v".
[INFO :PP0122] Preprocessing source file "t_mod_dup_bad.v".
[INFO :PP0122] Preprocessing source file "t_mod_dup_ign.v".
[INFO :PP0122] Preprocessing source file "t_mod_interface_array.v".
[INFO :PP0122] Preprocessing source file "t_mod_interface_array1.v".
[INFO :PP0122] Preprocessing source file "t_mod_interface_array2.v".
[INFO :PP0122] Preprocessing source file "t_mod_longname.v".
[INFO :PP0122] Preprocessing source file "t_mod_nomod.v".
[INFO :PP0122] Preprocessing source file "t_mod_recurse.v".
[INFO :PP0122] Preprocessing source file "t_mod_recurse1.v".
[INFO :PP0122] Preprocessing source file "t_multitop1.v".
[INFO :PP0122] Preprocessing source file "t_multitop1s.v".
[INFO :PP0122] Preprocessing source file "t_multitop_sig.v".
[INFO :PP0122] Preprocessing source file "t_optm_if_array.v".
[INFO :PP0122] Preprocessing source file "t_optm_redor.v".
[INFO :PP0122] Preprocessing source file "t_order.v".
[INFO :PP0122] Preprocessing source file "t_order_2d.v".
[INFO :PP0122] Preprocessing source file "t_order_a.v".
[INFO :PP0122] Preprocessing source file "t_order_b.v".
[INFO :PP0122] Preprocessing source file "t_order_clkinst.v".
[INFO :PP0122] Preprocessing source file "t_order_comboclkloop.v".
[INFO :PP0122] Preprocessing source file "t_order_comboloop.v".
[INFO :PP0122] Preprocessing source file "t_order_doubleloop.v".
[INFO :PP0122] Preprocessing source file "t_order_first.v".
[INFO :PP0122] Preprocessing source file "t_order_loop_bad.v".
[INFO :PP0122] Preprocessing source file "t_order_multialways.v".
[INFO :PP0122] Preprocessing source file "t_order_multidriven.v".
[INFO :PP0122] Preprocessing source file "t_order_quad.v".
[INFO :PP0122] Preprocessing source file "t_order_wireloop.v".
[INFO :PP0122] Preprocessing source file "t_package.v".
[INFO :PP0122] Preprocessing source file "t_package_abs.v".
[INFO :PP0122] Preprocessing source file "t_package_ddecl.v".
[INFO :PP0122] Preprocessing source file "t_package_dimport.v".
[INFO :PP0122] Preprocessing source file "t_package_dot.v".
[INFO :PP0122] Preprocessing source file "t_package_enum.v".
[INFO :PP0122] Preprocessing source file "t_package_export.v".
[INFO :PP0122] Preprocessing source file "t_package_param.v".
[INFO :PP0122] Preprocessing source file "t_package_twodeep.v".
[INFO :PP0122] Preprocessing source file "t_package_verb.v".
[INFO :PP0122] Preprocessing source file "t_param.v".
[INFO :PP0122] Preprocessing source file "t_param_array.v".
[INFO :PP0122] Preprocessing source file "t_param_array2.v".
[INFO :PP0122] Preprocessing source file "t_param_array3.v".
[INFO :PP0122] Preprocessing source file "t_param_avec.v".
[INFO :PP0122] Preprocessing source file "t_param_bit_sel.v".
[INFO :PP0122] Preprocessing source file "t_param_ceil.v".
[INFO :PP0122] Preprocessing source file "t_param_chain.v".
[INFO :PP0122] Preprocessing source file "t_param_circ_bad.v".
[INFO :PP0122] Preprocessing source file "t_param_concat.v".
[INFO :PP0122] Preprocessing source file "t_param_const_part.v".
[INFO :PP0122] Preprocessing source file "t_param_ddeep_width.v".
[INFO :PP0122] Preprocessing source file "t_param_default.v".
[INFO :PP0122] Preprocessing source file "t_param_default_bad.v".
[INFO :PP0122] Preprocessing source file "t_param_first.v".
[INFO :PP0122] Preprocessing source file "t_param_first_a.v".
[INFO :PP0122] Preprocessing source file "t_param_first_b.v".
[INFO :PP0122] Preprocessing source file "t_param_func.v".
[INFO :PP0122] Preprocessing source file "t_param_if_blk.v".
[INFO :PP0122] Preprocessing source file "t_param_local.v".
[INFO :PP0122] Preprocessing source file "t_param_long.v".
[INFO :PP0122] Preprocessing source file "t_param_mem_attr.v".
[INFO :PP0122] Preprocessing source file "t_param_module.v".
[INFO :PP0122] Preprocessing source file "t_param_named.v".
[INFO :PP0122] Preprocessing source file "t_param_named_2.v".
[INFO :PP0122] Preprocessing source file "t_param_no_parentheses.v".
[INFO :PP0122] Preprocessing source file "t_param_package.v".
[INFO :PP0122] Preprocessing source file "t_param_public.v".
[INFO :PP0122] Preprocessing source file "t_param_real.v".
[INFO :PP0122] Preprocessing source file "t_param_real2.v".
[INFO :PP0122] Preprocessing source file "t_param_repl.v".
[INFO :PP0122] Preprocessing source file "t_param_scope_bad.v".
[INFO :PP0122] Preprocessing source file "t_param_seg.v".
[INFO :PP0122] Preprocessing source file "t_param_sel.v".
[INFO :PP0122] Preprocessing source file "t_param_sel_range.v".
[INFO :PP0122] Preprocessing source file "t_param_shift.v".
[INFO :PP0122] Preprocessing source file "t_param_type.v".
[INFO :PP0122] Preprocessing source file "t_param_type2.v".
[INFO :PP0122] Preprocessing source file "t_param_up_bad.v".
[INFO :PP0122] Preprocessing source file "t_param_value.v".
[INFO :PP0122] Preprocessing source file "t_param_while.v".
[INFO :PP0122] Preprocessing source file "t_param_wide_io.v".
[INFO :PP0122] Preprocessing source file "t_parse_delay.v".
[INFO :PP0122] Preprocessing source file "t_past.v".
[INFO :PP0122] Preprocessing source file "t_past_bad.v".
[INFO :PP0122] Preprocessing source file "t_past_unsup_bad.v".
[INFO :PP0122] Preprocessing source file "t_pipe_filter.v".
[INFO :PP0123] Preprocessing include file "t_pipe_filter_inc.vh".
[INFO :PP0122] Preprocessing source file "t_pp_circdef_bad.v".
[ERROR:PP0115] t_pp_circdef_bad.v:9 Recursive macro definition for "SEL_NUM_BITS",
t_pp_circdef_bad.v:9 macro used in macro "SEL_NUM_BITS".
[ERROR:PP0102] t_pp_circdef_bad.v:9 Unknown macro "SEL_NUM_BITS".
[INFO :PP0122] Preprocessing source file "t_pp_display.v".
[WARNI:PP0113] t_pp_display.v:20 Unused macro argument "left".
[ERROR:PP0109] t_pp_display.v:34 Macro instantiation omits argument 1 (x) for "thru",
t_pp_display.v:17 No default value for argument 1 (x) in macro definition.
[INFO :PP0122] Preprocessing source file "t_pp_dupdef.v".
[NOTE :PP0105] t_pp_dupdef.v:9 Multiply defined macro "DUP",
t_pp_dupdef.v:8 previous definition.
[NOTE :PP0105] t_pp_dupdef.v:12 Multiply defined macro "DUPP",
t_pp_dupdef.v:11 previous definition.
[INFO :PP0122] Preprocessing source file "t_pp_lib.v".
[INFO :PP0123] Preprocessing include file "t_pp_lib_inc.vh".
[INFO :PP0122] Preprocessing source file "t_pp_lib_library.v".
[ERROR:PP0102] t_pp_lib_library.v:7 Unknown macro "WIDTH".
[INFO :PP0122] Preprocessing source file "t_pp_misdef_bad.v".
[ERROR:PP0102] t_pp_misdef_bad.v:10 Unknown macro "NDEFINED".
[ERROR:PP0102] t_pp_misdef_bad.v:13 Unknown macro "imescale".
[INFO :PP0122] Preprocessing source file "t_pp_pragmas.v".
[ERROR:PP0102] t_pp_pragmas.v:7 Unknown macro "verilog".
[ERROR:PP0102] t_pp_pragmas.v:40 Unknown macro "remove_gatenames".
[ERROR:PP0102] t_pp_pragmas.v:42 Unknown macro "remove_netnames".
[INFO :PP0122] Preprocessing source file "t_pp_underline_bad.v".
[INFO :PP0122] Preprocessing source file "t_preproc.v".
[ERROR:PP0106] t_preproc.v:245 Syntax error: no viable alternative at input '`define\n',
Not a \`define
^-- t_preproc.v:245 col:14.
[ERROR:PP0106] t_preproc.v:284 Syntax error: no viable alternative at input '`define /* multi\t\\n\t line1*/',
`define /* multi \
^-- t_preproc.v:284 col:8.
[ERROR:PP0106] t_preproc.v:475 Syntax error: no viable alternative at input '`define ESC(name) \',
`define ESC(name) \`CAT(name,suffix)
^-- t_preproc.v:475 col:18.
[INFO :PP0123] Preprocessing include file "t_preproc_inc2.vh".
[INFO :PP0123] Preprocessing include file "<t_preproc_inc3.vh>".
[ERROR:PP0101] t_preproc_inc2.vh:6 Cannot open include file "<t_preproc_inc3.vh>".
[ERROR:PP0112] t_preproc.v:74 Illegal space in between macro name "noparam" and open parenthesis.
[NOTE :PP0105] t_preproc.v:99 Multiply defined macro "msg",
t_preproc.v:77 previous definition.
[ERROR:PP0109] t_preproc.v:110 Macro instantiation omits argument 1 (x) for "thru",
t_preproc.v:97 No default value for argument 1 (x) in macro definition.
[ERROR:PP0116] t_preproc.v:158 Illegal unterminated string.
[INFO :PP0123] Preprocessing include file "t_preproc_inc4.vh".
[ERROR:PP0112] t_preproc.v:218 Illegal space in between macro name "ARGPAR" and open parenthesis.
[WARNI:PP0113] t_preproc.v:251 Unused macro argument "l".
[ERROR:PP0102] t_preproc.v:263 Unknown macro "error".
[ERROR:PP0102] t_preproc.v:266 Unknown macro "error".
[ERROR:PP0102] t_preproc.v:293 Unknown macro "bug202".
[ERROR:PP0107] t_preproc.v:307 Too many arguments (1) for macro "CMT1",
t_preproc.v:297 macro definition takes 0.
[ERROR:PP0107] t_preproc.v:308 Too many arguments (1) for macro "CMT2",
t_preproc.v:298 macro definition takes 0.
[ERROR:PP0107] t_preproc.v:309 Too many arguments (1) for macro "CMT3",
t_preproc.v:299 macro definition takes 0.
[ERROR:PP0107] t_preproc.v:310 Too many arguments (1) for macro "CMT4",
t_preproc.v:301 macro definition takes 0.
[ERROR:PP0107] t_preproc.v:311 Too many arguments (1) for macro "CMT5",
t_preproc.v:303 macro definition takes 0.
[WARNI:PP0113] t_preproc.v:318 Unused macro argument "log".
[WARNI:PP0113] t_preproc.v:372 Unused macro argument "d".
[ERROR:PP0102] t_preproc.v:379 Unknown macro "REPEAT_".
[WARNI:PP0103] t_preproc.v:386 Undefining an unknown macro "T_PREPROC_INC4".
[WARNI:PP0103] t_preproc.v:396 Undefining an unknown macro "TEMP".
[ERROR:PP0102] t_preproc.v:400 Unknown macro "error".
[ERROR:PP0116] t_preproc.v:406 Illegal unterminated string.
[WARNI:PP0114] t_preproc.v:418 Undefined macro argument "b".
[ERROR:PP0102] t_preproc.v:442 Unknown macro "QA".
[WARNI:PP0113] t_preproc.v:462 Unused macro argument "name".
[WARNI:PP0113] t_preproc.v:469 Unused macro argument "name".
[WARNI:PP0113] t_preproc.v:469 Unused macro argument "name2".
[ERROR:PP0102] t_preproc.v:478 Unknown macro "ESC".
[WARNI:PP0103] t_preproc.v:479 Undefining an unknown macro "ESC".
[WARNI:PP0113] t_preproc.v:482 Unused macro argument "name".
[WARNI:PP0113] t_preproc.v:488 Unused macro argument "name".
[ERROR:PP0102] t_preproc.v:491 Unknown macro "zzz".
[WARNI:PP0113] t_preproc.v:495 Unused macro argument "name".
[WARNI:PP0103] t_preproc.v:504 Undefining an unknown macro "UNKNOWN".
[ERROR:PP0102] t_preproc.v:505 Unknown macro "UNKNOWN".
[WARNI:PP0113] t_preproc.v:514 Unused macro argument "name".
[WARNI:PP0113] t_preproc.v:519 Unused macro argument "name".
[WARNI:PP0113] t_preproc.v:543 Unused macro argument "foo".
[WARNI:PP0114] t_preproc.v:547 Undefined macro argument "XXE_".
[WARNI:PP0114] t_preproc.v:554 Undefined macro argument "XYE_".
[WARNI:PP0114] t_preproc.v:561 Undefined macro argument "XXS_".
[WARNI:PP0114] t_preproc.v:568 Undefined macro argument "XYS_".
[ERROR:PP0102] t_preproc.v:617 Unknown macro "dbg_hdl".
[WARNI:PP0113] t_preproc.v:620 Unused macro argument "LVL".
[ERROR:PP0102] t_preproc.v:637 Unknown macro "SV_COV_START".
[ERROR:PP0102] t_preproc.v:638 Unknown macro "SV_COV_STOP".
[ERROR:PP0102] t_preproc.v:639 Unknown macro "SV_COV_RESET".
[ERROR:PP0102] t_preproc.v:640 Unknown macro "SV_COV_CHECK".
[ERROR:PP0102] t_preproc.v:641 Unknown macro "SV_COV_MODULE".
[ERROR:PP0102] t_preproc.v:642 Unknown macro "SV_COV_HIER".
[ERROR:PP0102] t_preproc.v:643 Unknown macro "SV_COV_ASSERTION".
[ERROR:PP0102] t_preproc.v:644 Unknown macro "SV_COV_FSM_STATE".
[ERROR:PP0102] t_preproc.v:645 Unknown macro "SV_COV_STATEMENT".
[ERROR:PP0102] t_preproc.v:646 Unknown macro "SV_COV_TOGGLE".
[ERROR:PP0102] t_preproc.v:647 Unknown macro "SV_COV_OVERFLOW".
[ERROR:PP0102] t_preproc.v:648 Unknown macro "SV_COV_ERROR".
[ERROR:PP0102] t_preproc.v:649 Unknown macro "SV_COV_NOCOV".
[ERROR:PP0102] t_preproc.v:650 Unknown macro "SV_COV_OK".
[ERROR:PP0102] t_preproc.v:651 Unknown macro "SV_COV_PARTIAL".
[INFO :PP0122] Preprocessing source file "t_preproc_def09.v".
[ERROR:PP0112] t_preproc_def09.v:60 Illegal space in between macro name "MACROPAREN" and open parenthesis.
[INFO :PP0122] Preprocessing source file "t_preproc_ifdef.v".
[INFO :PP0122] Preprocessing source file "t_preproc_inc_bad.v".
[INFO :PP0123] Preprocessing include file "t_preproc_inc_inc_bad.vh".
[INFO :PP0122] Preprocessing source file "t_preproc_inc_notfound_bad.v".
[INFO :PP0123] Preprocessing include file "this_file_is_not_found.vh".
[ERROR:PP0101] t_preproc_inc_notfound_bad.v:6 Cannot open include file "this_file_is_not_found.vh".
[INFO :PP0122] Preprocessing source file "t_preproc_kwd.v".
[INFO :PP0122] Preprocessing source file "t_preproc_noline.v".
[INFO :PP0122] Preprocessing source file "t_preproc_persist.v".
[INFO :PP0123] Preprocessing include file "t_preproc_persist_inc.v".
[INFO :PP0122] Preprocessing source file "t_preproc_persist2.v".
[INFO :PP0122] Preprocessing source file "t_preproc_persist_inc.v".
[INFO :PP0122] Preprocessing source file "t_preproc_ttempty.v".
[INFO :PP0122] Preprocessing source file "t_preproc_undefineall.v".
[ERROR:PP0102] t_preproc_undefineall.v:9 Unknown macro "error".
[ERROR:PP0102] t_preproc_undefineall.v:14 Unknown macro "error".
[INFO :PP0122] Preprocessing source file "t_program.v".
[INFO :PP0122] Preprocessing source file "t_real_param.v".
[INFO :PP0122] Preprocessing source file "t_reloop_cam.v".
[INFO :PP0122] Preprocessing source file "t_repeat.v".
[INFO :PP0122] Preprocessing source file "t_rnd.v".
[INFO :PP0122] Preprocessing source file "t_runflag.v".
[INFO :PP0122] Preprocessing source file "t_runflag_seed.v".
[INFO :PP0122] Preprocessing source file "t_savable.v".
[INFO :PP0122] Preprocessing source file "t_scope_map.v".
[INFO :PP0122] Preprocessing source file "t_select_bad_msb.v".
[INFO :PP0122] Preprocessing source file "t_select_bad_range.v".
[INFO :PP0122] Preprocessing source file "t_select_bad_range2.v".
[INFO :PP0122] Preprocessing source file "t_select_bad_range3.v".
[INFO :PP0122] Preprocessing source file "t_select_bad_tri.v".
[INFO :PP0122] Preprocessing source file "t_select_bound1.v".
[INFO :PP0122] Preprocessing source file "t_select_bound2.v".
[INFO :PP0122] Preprocessing source file "t_select_index.v".
[INFO :PP0122] Preprocessing source file "t_select_index2.v".
[INFO :PP0122] Preprocessing source file "t_select_lhs_oob.v".
[INFO :PP0122] Preprocessing source file "t_select_lhs_oob2.v".
[INFO :PP0122] Preprocessing source file "t_select_little.v".
[INFO :PP0122] Preprocessing source file "t_select_little_pack.v".
[INFO :PP0122] Preprocessing source file "t_select_loop.v".
[INFO :PP0122] Preprocessing source file "t_select_negative.v".
[INFO :PP0122] Preprocessing source file "t_select_param.v".
[INFO :PP0122] Preprocessing source file "t_select_plus.v".
[INFO :PP0122] Preprocessing source file "t_select_plusloop.v".
[INFO :PP0122] Preprocessing source file "t_select_runtime_range.v".
[INFO :PP0122] Preprocessing source file "t_select_set.v".
[INFO :PP0122] Preprocessing source file "t_slice_cond.v".
[INFO :PP0122] Preprocessing source file "t_slice_init.v".
[INFO :PP0122] Preprocessing source file "t_slice_struct_array_modport.v".
[INFO :PP0122] Preprocessing source file "t_static_elab.v".
[INFO :PP0122] Preprocessing source file "t_stop_bad.v".
[INFO :PP0122] Preprocessing source file "t_stream.v".
[INFO :PP0122] Preprocessing source file "t_stream2.v".
[INFO :PP0122] Preprocessing source file "t_stream3.v".
[INFO :PP0122] Preprocessing source file "t_string.v".
[INFO :PP0122] Preprocessing source file "t_string_type_methods.v".
[INFO :PP0122] Preprocessing source file "t_struct_anon.v".
[INFO :PP0122] Preprocessing source file "t_struct_array.v".
[INFO :PP0122] Preprocessing source file "t_struct_init.v".
[INFO :PP0122] Preprocessing source file "t_struct_nest.v".
[INFO :PP0122] Preprocessing source file "t_struct_notfound_bad.v".
[INFO :PP0122] Preprocessing source file "t_struct_packed_sysfunct.v".
[INFO :PP0122] Preprocessing source file "t_struct_packed_value_list.v".
[INFO :PP0122] Preprocessing source file "t_struct_packed_write_read.v".
[INFO :PP0122] Preprocessing source file "t_struct_param.v".
[INFO :PP0122] Preprocessing source file "t_struct_pat_width.v".
[INFO :PP0122] Preprocessing source file "t_struct_port.v".
[INFO :PP0122] Preprocessing source file "t_struct_portsel.v".
[INFO :PP0122] Preprocessing source file "t_struct_unaligned.v".
[INFO :PP0122] Preprocessing source file "t_struct_unpacked.v".
[INFO :PP0122] Preprocessing source file "t_struct_unpacked_bad.v".
[INFO :PP0122] Preprocessing source file "t_sv_bus_mux_demux.v".
[INFO :PP0123] Preprocessing include file "t_sv_bus_mux_demux/sv_bus_mux_demux_def.sv".
[INFO :PP0123] Preprocessing include file "t_sv_bus_mux_demux/sv_bus_mux_demux_demux.sv".
[INFO :PP0123] Preprocessing include file "t_sv_bus_mux_demux/sv_bus_mux_demux_mux.sv".
[INFO :PP0123] Preprocessing include file "t_sv_bus_mux_demux/sv_bus_mux_demux_wrap.sv".
[INFO :PP0122] Preprocessing source file "t_sv_conditional.v".
[INFO :PP0122] Preprocessing source file "t_sv_cpu.v".
[INFO :PP0122] Preprocessing source file "t_sys_file_basic.v".
[ERROR:PP0101] t_sys_file_basic.v:6 Cannot open include file "verilated.v".
[ERROR:PP0102] t_sys_file_basic.v:46 Unknown macro "TEST_OBJ_DIR".
[INFO :PP0122] Preprocessing source file "t_sys_file_scan.v".
[ERROR:PP0101] t_sys_file_scan.v:6 Cannot open include file "verilated.v".
[ERROR:PP0102] t_sys_file_scan.v:16 Unknown macro "TEST_OBJ_DIR".
[INFO :PP0122] Preprocessing source file "t_sys_fread.v".
[ERROR:PP0102] t_sys_fread.v:42 Unknown macro "TEST_OBJ_DIR".
[INFO :PP0122] Preprocessing source file "t_sys_plusargs.v".
[INFO :PP0122] Preprocessing source file "t_sys_plusargs_bad.v".
[INFO :PP0122] Preprocessing source file "t_sys_rand.v".
[INFO :PP0122] Preprocessing source file "t_sys_readmem.v".
[INFO :PP0122] Preprocessing source file "t_sys_readmem_bad_addr.v".
[INFO :PP0122] Preprocessing source file "t_sys_readmem_bad_digit.v".
[INFO :PP0122] Preprocessing source file "t_sys_readmem_bad_end.v".
[INFO :PP0122] Preprocessing source file "t_sys_readmem_bad_notfound.v".
[INFO :PP0122] Preprocessing source file "t_sys_sformat.v".
[ERROR:PP0101] t_sys_sformat.v:6 Cannot open include file "verilated.v".
[INFO :PP0122] Preprocessing source file "t_sys_system.v".
[INFO :PP0122] Preprocessing source file "t_sys_time.v".
[INFO :PP0122] Preprocessing source file "t_table_fsm.v".
[INFO :PP0122] Preprocessing source file "t_threads_counter.v".
[INFO :PP0122] Preprocessing source file "t_trace_array.v".
[INFO :PP0122] Preprocessing source file "t_trace_cat.v".
[INFO :PP0122] Preprocessing source file "t_trace_complex.v".
[INFO :PP0122] Preprocessing source file "t_trace_decoration.v".
[INFO :PP0122] Preprocessing source file "t_trace_ena.v".
[INFO :PP0122] Preprocessing source file "t_trace_fst.v".
[INFO :PP0122] Preprocessing source file "t_trace_packed_struct.v".
[INFO :PP0122] Preprocessing source file "t_trace_param.v".
[INFO :PP0122] Preprocessing source file "t_trace_primitive.v".
[INFO :PP0122] Preprocessing source file "t_trace_public.v".
[INFO :PP0122] Preprocessing source file "t_trace_scstruct.v".
[INFO :PP0122] Preprocessing source file "t_trace_string.v".
[INFO :PP0122] Preprocessing source file "t_trace_timescale.v".
[INFO :PP0122] Preprocessing source file "t_tri_array.v".
[INFO :PP0122] Preprocessing source file "t_tri_array_bufif.v".
[INFO :PP0122] Preprocessing source file "t_tri_array_pull.v".
[INFO :PP0122] Preprocessing source file "t_tri_dangle.v".
[INFO :PP0122] Preprocessing source file "t_tri_eqcase.v".
[INFO :PP0122] Preprocessing source file "t_tri_gate.v".
[ERROR:PP0102] t_tri_gate.v:36 Unknown macro "error".
[INFO :PP0122] Preprocessing source file "t_tri_gen.v".
[INFO :PP0122] Preprocessing source file "t_tri_graph.v".
[INFO :PP0122] Preprocessing source file "t_tri_ifbegin.v".
[INFO :PP0122] Preprocessing source file "t_tri_inout.v".
[INFO :PP0122] Preprocessing source file "t_tri_inout2.v".
[INFO :PP0122] Preprocessing source file "t_tri_inz.v".
[INFO :PP0122] Preprocessing source file "t_tri_public.v".
[INFO :PP0122] Preprocessing source file "t_tri_pull01.v".
[INFO :PP0122] Preprocessing source file "t_tri_pull2_bad.v".
[INFO :PP0122] Preprocessing source file "t_tri_pull_bad.v".
[INFO :PP0122] Preprocessing source file "t_tri_pullup.v".
[INFO :PP0122] Preprocessing source file "t_tri_pullvec_bad.v".
[INFO :PP0122] Preprocessing source file "t_tri_select.v".
[INFO :PP0122] Preprocessing source file "t_tri_select_unsized.v".
[INFO :PP0122] Preprocessing source file "t_tri_unconn.v".
[INFO :PP0122] Preprocessing source file "t_tri_various.v".
[INFO :PP0122] Preprocessing source file "t_type_param.v".
[INFO :PP0122] Preprocessing source file "t_typedef.v".
[INFO :PP0122] Preprocessing source file "t_typedef_array.v".
[INFO :PP0122] Preprocessing source file "t_typedef_circ_bad.v".
[INFO :PP0122] Preprocessing source file "t_typedef_param.v".
[INFO :PP0122] Preprocessing source file "t_typedef_port.v".
[INFO :PP0122] Preprocessing source file "t_typedef_signed.v".
[INFO :PP0122] Preprocessing source file "t_udp.v".
[INFO :PP0122] Preprocessing source file "t_udp_noname.v".
[INFO :PP0122] Preprocessing source file "t_uniqueif.v".
[INFO :PP0122] Preprocessing source file "t_unopt_array.v".
[INFO :PP0122] Preprocessing source file "t_unopt_bound.v".
[INFO :PP0122] Preprocessing source file "t_unopt_combo.v".
[INFO :PP0122] Preprocessing source file "t_unopt_converge.v".
[INFO :PP0122] Preprocessing source file "t_unopt_converge_initial.v".
[INFO :PP0122] Preprocessing source file "t_unoptflat_simple.v".
[INFO :PP0122] Preprocessing source file "t_unoptflat_simple_2.v".
[INFO :PP0122] Preprocessing source file "t_unoptflat_simple_3.v".
[INFO :PP0122] Preprocessing source file "t_unpacked_array_order.v".
[INFO :PP0122] Preprocessing source file "t_unroll_complexcond.v".
[INFO :PP0122] Preprocessing source file "t_unroll_forfor.v".
[INFO :PP0122] Preprocessing source file "t_unroll_genf.v".
[INFO :PP0122] Preprocessing source file "t_unroll_signed.v".
[INFO :PP0122] Preprocessing source file "t_vams_basic.v".
[INFO :PP0122] Preprocessing source file "t_vams_wreal.v".
[INFO :PP0122] Preprocessing source file "t_var_assign_landr.v".
[INFO :PP0122] Preprocessing source file "t_var_bad_hide.v".
[INFO :PP0122] Preprocessing source file "t_var_bad_hide2.v".
[INFO :PP0122] Preprocessing source file "t_var_bad_sameas.v".
[INFO :PP0122] Preprocessing source file "t_var_bad_sv.v".
[INFO :PP0122] Preprocessing source file "t_var_const.v".
[INFO :PP0122] Preprocessing source file "t_var_const_bad.v".
[INFO :PP0122] Preprocessing source file "t_var_dotted.v".
[INFO :PP0122] Preprocessing source file "t_var_dup2.v".
[INFO :PP0122] Preprocessing source file "t_var_dup2_bad.v".
[INFO :PP0122] Preprocessing source file "t_var_dup3.v".
[INFO :PP0122] Preprocessing source file "t_var_dup_bad.v".
[INFO :PP0122] Preprocessing source file "t_var_escape.v".
[INFO :PP0122] Preprocessing source file "t_var_in_assign.v".
[INFO :PP0122] Preprocessing source file "t_var_in_assign_bad.v".
[INFO :PP0122] Preprocessing source file "t_var_init.v".
[INFO :PP0122] Preprocessing source file "t_var_life.v".
[INFO :PP0122] Preprocessing source file "t_var_local.v".
[INFO :PP0122] Preprocessing source file "t_var_nonamebegin.v".
[INFO :PP0122] Preprocessing source file "t_var_notfound_bad.v".
[INFO :PP0122] Preprocessing source file "t_var_outoforder.v".
[INFO :PP0122] Preprocessing source file "t_var_overcmp.v".
[INFO :PP0122] Preprocessing source file "t_var_overwidth_bad.v".
[INFO :PP0122] Preprocessing source file "t_var_overzero.v".
[INFO :PP0122] Preprocessing source file "t_var_pinsizes.v".
[INFO :PP0122] Preprocessing source file "t_var_port2_bad.v".
[INFO :PP0122] Preprocessing source file "t_var_port_bad.v".
[INFO :PP0122] Preprocessing source file "t_var_ref.v".
[INFO :PP0122] Preprocessing source file "t_var_ref_bad1.v".
[INFO :PP0122] Preprocessing source file "t_var_ref_bad2.v".
[INFO :PP0122] Preprocessing source file "t_var_ref_bad3.v".
[INFO :PP0122] Preprocessing source file "t_var_rsvd.v".
[INFO :PP0122] Preprocessing source file "t_var_rsvd_port.v".
[INFO :PP0122] Preprocessing source file "t_var_set_link.v".
[INFO :PP0122] Preprocessing source file "t_var_static.v".
[INFO :PP0122] Preprocessing source file "t_var_suggest_bad.v".
[INFO :PP0122] Preprocessing source file "t_var_tieout.v".
[INFO :PP0122] Preprocessing source file "t_var_types.v".
[WARNI:PP0113] t_var_types.v:182 Unused macro argument "zeroinit".
[INFO :PP0122] Preprocessing source file "t_var_types_bad.v".
[INFO :PP0122] Preprocessing source file "t_var_vec_sel.v".
[INFO :PP0122] Preprocessing source file "t_var_xref_gen.v".
[INFO :PP0122] Preprocessing source file "t_verilated_all.v".
[INFO :PP0122] Preprocessing source file "t_verilated_debug.v".
[INFO :PP0122] Preprocessing source file "t_vlt_warn.v".
[INFO :PP0122] Preprocessing source file "t_vpi_get.v".
[INFO :PP0122] Preprocessing source file "t_vpi_memory.v".
[INFO :PP0122] Preprocessing source file "t_vpi_sc.v".
[INFO :PP0122] Preprocessing source file "t_vpi_unimpl.v".
[INFO :PP0122] Preprocessing source file "t_vpi_var.v".
[INFO :PP0122] Preprocessing source file "t_wire_beh_bad.v".
[INFO :PP0122] Preprocessing source file "t_wire_types.v".
[INFO :PP0122] Preprocessing source file "t_xml_first.v".
[INFO :PP0122] Preprocessing source file "t_xml_tag.v".
[INFO :PA0201] Parsing source file "/home/alain/Surelog/SVIncCompil/dist/surelog/bin/../sv/builtin.sv".
[INFO :PA0201] Parsing source file "t_sv_bus_mux_demux/sv_bus_mux_demux_def.sv".
[INFO :PA0201] Parsing source file "t_sv_bus_mux_demux/sv_bus_mux_demux_demux.sv".
[INFO :PA0201] Parsing source file "t_sv_bus_mux_demux/sv_bus_mux_demux_mux.sv".
[INFO :PA0201] Parsing source file "t_sv_bus_mux_demux/sv_bus_mux_demux_wrap.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/ac.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/ac_ana.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/ac_dig.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/adrdec.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/chip.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/cpu.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/genbus_if.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/pad_gnd.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/pad_gpio.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/pad_vdd.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/pads.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/pads_h.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/pads_if.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/pinout_h.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/ports.sv".
[ERROR:PA0207] t_sv_cpu_code/ports.sv:39 Syntax error: extraneous input 'SURELOG_MACRO_NOT_DEFINED:PACKED!!!' expecting {'{', 'packed'},
struct SURELOG_MACRO_NOT_DEFINED:PACKED!!!
^-- ./slpp_unit/work/t_sv_cpu_code/ports.sv:39 col:9.
[INFO :PA0201] Parsing source file "t_sv_cpu_code/ports_h.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/program_h.sv".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/rom.sv".
[ERROR:PA0207] t_sv_cpu_code/rom.sv:18 Syntax error: no viable alternative at input ''{\n SURELOG_MACRO_NOT_DEFINED:LDI!!!',
SURELOG_MACRO_NOT_DEFINED:LDI!!!
^-- ./slpp_unit/work/t_sv_cpu_code/rom.sv:18 col:6.
[ERROR:PA0203] t_sv_cpu_code/rom.sv:18 Unknown macro "LDI".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:19 Unknown macro "LDI".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:20 Unknown macro "LDI".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:21 Unknown macro "LDI".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:23 Unknown macro "STS".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:24 Unknown macro "STS".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:25 Unknown macro "STS".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:26 Unknown macro "STS".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:28 Unknown macro "LDS".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:29 Unknown macro "LDS".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:30 Unknown macro "LDS".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:31 Unknown macro "LDS".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:33 Unknown macro "JMP".
[ERROR:PA0203] t_sv_cpu_code/rom.sv:35 Unknown macro "EOP".
[INFO :PA0201] Parsing source file "t_sv_cpu_code/timescale.sv".
[INFO :PA0201] Parsing source file "tsub/t_flag_f_tsub.v".
[INFO :PA0201] Parsing source file "tsub/t_flag_f_tsub_inc.v".
[INFO :PA0201] Parsing source file "t_EXAMPLE.v".
[INFO :PA0201] Parsing source file "t_a_first_cc.v".
[INFO :PA0201] Parsing source file "t_altera_lpm.v".
[INFO :PA0201] Parsing source file "t_alw_combdly.v".
[INFO :PA0201] Parsing source file "t_alw_dly.v".
[INFO :PA0201] Parsing source file "t_alw_nosplit.v".
[INFO :PA0201] Parsing source file "t_alw_reorder.v".
[INFO :PA0201] Parsing source file "t_alw_split.v".
[INFO :PA0201] Parsing source file "t_alw_split_rst.v".
[INFO :PA0201] Parsing source file "t_alw_splitord.v".
[INFO :PA0201] Parsing source file "t_array_backw_index_bad.v".
[INFO :PA0201] Parsing source file "t_array_compare.v".
[INFO :PA0201] Parsing source file "t_array_interface.v".
[INFO :PA0201] Parsing source file "t_array_list_bad.v".
[INFO :PA0201] Parsing source file "t_array_mda.v".
[INFO :PA0201] Parsing source file "t_array_packed_sysfunct.v".
[INFO :PA0201] Parsing source file "t_array_pattern_2d.v".
[INFO :PA0201] Parsing source file "t_array_pattern_bad.v".
[INFO :PA0201] Parsing source file "t_array_pattern_packed.v".
[INFO :PA0201] Parsing source file "t_array_pattern_unpacked.v".
[INFO :PA0201] Parsing source file "t_array_query.v".
[INFO :PA0201] Parsing source file "t_array_rev.v".
[INFO :PA0201] Parsing source file "t_array_type_methods.v".
[INFO :PA0201] Parsing source file "t_arraysel_wide.v".
[INFO :PA0201] Parsing source file "t_assert_basic.v".
[INFO :PA0201] Parsing source file "t_assert_casez.v".
[INFO :PA0201] Parsing source file "t_assert_comp.v".
[INFO :PA0201] Parsing source file "t_assert_comp_bad.v".
[INFO :PA0201] Parsing source file "t_assert_cover.v".
[INFO :PA0201] Parsing source file "t_assert_dup_bad.v".
[INFO :PA0201] Parsing source file "t_assert_elab.v".
[INFO :PA0201] Parsing source file "t_assert_property.v".
[INFO :PA0201] Parsing source file "t_assert_question.v".
[INFO :PA0201] Parsing source file "t_assert_synth.v".
[INFO :PA0201] Parsing source file "t_assign_inline.v".
[INFO :PA0201] Parsing source file "t_attr_parenstar.v".
[ERROR:PA0207] t_attr_parenstar.v:32 Syntax error: no viable alternative at input '@ (*',
always @ (*
^-- ./slpp_unit/work/t_attr_parenstar.v:32 col:12.
[INFO :PA0201] Parsing source file "t_bench_mux4k.v".
[INFO :PA0201] Parsing source file "t_bind.v".
[INFO :PA0201] Parsing source file "t_bind2.v".
[INFO :PA0201] Parsing source file "t_bitsel_const_bad.v".
[INFO :PA0201] Parsing source file "t_bitsel_enum.v".
[INFO :PA0201] Parsing source file "t_bitsel_slice.v".
[INFO :PA0201] Parsing source file "t_bitsel_struct.v".
[INFO :PA0201] Parsing source file "t_bitsel_struct2.v".
[INFO :PA0201] Parsing source file "t_bitsel_struct3.v".
[INFO :PA0201] Parsing source file "t_bitsel_wire_array_bad.v".
[INFO :PA0201] Parsing source file "t_blocking.v".
[INFO :PA0201] Parsing source file "t_case_66bits.v".
[INFO :PA0201] Parsing source file "t_case_auto1.v".
[INFO :PA0201] Parsing source file "t_case_deep.v".
[INFO :PA0201] Parsing source file "t_case_default_bad.v".
[INFO :PA0201] Parsing source file "t_case_dupitems.v".
[INFO :PA0201] Parsing source file "t_case_genx_bad.v".
[INFO :PA0201] Parsing source file "t_case_group.v".
[INFO :PA0201] Parsing source file "t_case_huge.v".
[INFO :PA0201] Parsing source file "t_case_huge_sub.v".
[INFO :PA0201] Parsing source file "t_case_huge_sub2.v".
[INFO :PA0201] Parsing source file "t_case_huge_sub3.v".
[INFO :PA0201] Parsing source file "t_case_huge_sub4.v".
[INFO :PA0201] Parsing source file "t_case_inside.v".
[INFO :PA0201] Parsing source file "t_case_itemwidth.v".
[INFO :PA0201] Parsing source file "t_case_nest.v".
[INFO :PA0201] Parsing source file "t_case_onehot.v".
[INFO :PA0201] Parsing source file "t_case_orig.v".
[INFO :PA0201] Parsing source file "t_case_reducer.v".
[INFO :PA0201] Parsing source file "t_case_wild.v".
[ERROR:PA0207] t_case_wild.v:64 Syntax error: no viable alternative at input 'casez (in[0])\n endcase',
endcase
^-- ./slpp_unit/work/t_case_wild.v:64 col:6.
[INFO :PA0201] Parsing source file "t_case_write1.v".
[INFO :PA0201] Parsing source file "t_case_write1_tasks.v".
[INFO :PA0201] Parsing source file "t_case_write2.v".
[INFO :PA0201] Parsing source file "t_case_write2_tasks.v".
[INFO :PA0201] Parsing source file "t_case_x.v".
[INFO :PA0201] Parsing source file "t_case_x_bad.v".
[INFO :PA0201] Parsing source file "t_case_zx_bad.v".
[INFO :PA0201] Parsing source file "t_cast.v".
[INFO :PA0201] Parsing source file "t_cdc_async_bad.v".
[INFO :PA0201] Parsing source file "t_cellarray.v".
[INFO :PA0201] Parsing source file "t_chg_first.v".
[INFO :PA0201] Parsing source file "t_clk_concat.v".
[INFO :PA0201] Parsing source file "t_clk_concat2.v".
[ERROR:PA0207] t_clk_concat2.v:80 Syntax error: extraneous input 'input' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
input clk;
^-- ./slpp_unit/work/t_clk_concat2.v:80 col:3.
[INFO :PA0201] Parsing source file "t_clk_concat3.v".
[INFO :PA0201] Parsing source file "t_clk_concat4.v".
[INFO :PA0201] Parsing source file "t_clk_concat5.v".
[ERROR:PA0207] t_clk_concat5.v:83 Syntax error: extraneous input 'input' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
input clk;
^-- ./slpp_unit/work/t_clk_concat5.v:83 col:3.
[INFO :PA0201] Parsing source file "t_clk_concat6.v".
[ERROR:PA0207] t_clk_concat6.v:96 Syntax error: extraneous input 'input' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
input clk;
^-- ./slpp_unit/work/t_clk_concat6.v:96 col:3.
[INFO :PA0201] Parsing source file "t_clk_condflop.v".
[INFO :PA0201] Parsing source file "t_clk_condflop_nord.v".
[INFO :PA0201] Parsing source file "t_clk_dpulse.v".
[INFO :PA0201] Parsing source file "t_clk_dsp.v".
[INFO :PA0201] Parsing source file "t_clk_first.v".
[INFO :PA0201] Parsing source file "t_clk_gater.v".
[INFO :PA0201] Parsing source file "t_clk_gen.v".
[INFO :PA0201] Parsing source file "t_clk_inp_init.v".
[INFO :PA0201] Parsing source file "t_clk_latch.v".
[INFO :PA0201] Parsing source file "t_clk_latchgate.v".
[INFO :PA0201] Parsing source file "t_clk_powerdn.v".
[INFO :PA0201] Parsing source file "t_clk_scope_bad.v".
[INFO :PA0201] Parsing source file "t_clk_vecgen1.v".
[INFO :PA0201] Parsing source file "t_clocker.v".
[INFO :PA0201] Parsing source file "t_concat_large.v".
[INFO :PA0201] Parsing source file "t_concat_large_bad.v".
[INFO :PA0201] Parsing source file "t_concat_opt.v".
[INFO :PA0201] Parsing source file "t_const.v".
[INFO :PA0201] Parsing source file "t_const_bad.v".
[INFO :PA0201] Parsing source file "t_const_dec_mixed_bad.v".
[ERROR:PA0207] t_const_dec_mixed_bad.v:8 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n\n parameter [200:0] MIXED = 32'dx_1',
parameter [200:0] MIXED = 32'dx_1;
^-- ./slpp_unit/work/t_const_dec_mixed_bad.v:8 col:35.
[INFO :PA0201] Parsing source file "t_const_overflow_bad.v".
[INFO :PA0201] Parsing source file "t_cover_line.v".
[INFO :PA0201] Parsing source file "t_cover_sva_notflat.v".
[INFO :PA0201] Parsing source file "t_cover_toggle.v".
[INFO :PA0201] Parsing source file "t_crazy_sel.v".
[INFO :PA0201] Parsing source file "t_dedupe_clk_gate.v".
[INFO :PA0201] Parsing source file "t_dedupe_seq_logic.v".
[INFO :PA0201] Parsing source file "t_delay.v".
[INFO :PA0201] Parsing source file "t_detectarray_1.v".
[INFO :PA0201] Parsing source file "t_detectarray_2.v".
[INFO :PA0201] Parsing source file "t_detectarray_3.v".
[INFO :PA0201] Parsing source file "t_display.v".
[INFO :PA0201] Parsing source file "t_display_bad.v".
[INFO :PA0201] Parsing source file "t_display_esc_bad.v".
[INFO :PA0201] Parsing source file "t_display_l.v".
[INFO :PA0201] Parsing source file "t_display_mcd.v".
[INFO :PA0201] Parsing source file "t_display_merge.v".
[INFO :PA0201] Parsing source file "t_display_real.v".
[INFO :PA0201] Parsing source file "t_display_realtime.v".
[INFO :PA0201] Parsing source file "t_display_signed.v".
[INFO :PA0201] Parsing source file "t_display_string.v".
[INFO :PA0201] Parsing source file "t_display_time.v".
[INFO :PA0201] Parsing source file "t_display_wide.v".
[INFO :PA0201] Parsing source file "t_dos.v".
[INFO :PA0201] Parsing source file "t_dpi_2exp_bad.v".
[INFO :PA0201] Parsing source file "t_dpi_accessors.v".
[INFO :PA0201] Parsing source file "t_dpi_context.v".
[INFO :PA0201] Parsing source file "t_dpi_display.v".
[ERROR:PA0207] t_dpi_display.v:10 Syntax error: no viable alternative at input 'module t ();\n\n SURELOG_MACRO_NOT_DEFINED:error!!! "Only Verilator supports PLI-ish DPI calls and sformat conversion."',
SURELOG_MACRO_NOT_DEFINED:error!!! "Only Verilator supports PLI-ish DPI calls and sformat conversion."
^-- ./slpp_unit/work/t_dpi_display.v:10 col:39.
[ERROR:PA0203] t_dpi_display.v:10 Unknown macro "error".
[INFO :PA0201] Parsing source file "t_dpi_dup_bad.v".
[INFO :PA0201] Parsing source file "t_dpi_exp_bad.v".
[INFO :PA0201] Parsing source file "t_dpi_export.v".
[INFO :PA0201] Parsing source file "t_dpi_imp_gen.v".
[INFO :PA0201] Parsing source file "t_dpi_import.v".
[INFO :PA0201] Parsing source file "t_dpi_lib.v".
[INFO :PA0201] Parsing source file "t_dpi_logic_bad.v".
[INFO :PA0201] Parsing source file "t_dpi_name_bad.v".
[INFO :PA0201] Parsing source file "t_dpi_open.v".
[INFO :PA0201] Parsing source file "t_dpi_openfirst.v".
[INFO :PA0201] Parsing source file "t_dpi_openreg_bad.v".
[INFO :PA0201] Parsing source file "t_dpi_qw.v".
[INFO :PA0201] Parsing source file "t_dpi_shortcircuit.v".
[INFO :PA0201] Parsing source file "t_dpi_shortcircuit2.v".
[INFO :PA0201] Parsing source file "t_dpi_string.v".
[INFO :PA0201] Parsing source file "t_dpi_sys.v".
[ERROR:PA0207] t_dpi_sys.v:14 Syntax error: no viable alternative at input 'module t ();\n\n SURELOG_MACRO_NOT_DEFINED:error!!! "Only Verilator supports PLI-ish DPI calls."',
SURELOG_MACRO_NOT_DEFINED:error!!! "Only Verilator supports PLI-ish DPI calls."
^-- ./slpp_unit/work/t_dpi_sys.v:14 col:39.
[ERROR:PA0203] t_dpi_sys.v:14 Unknown macro "error".
[INFO :PA0201] Parsing source file "t_dpi_threads.v".
[ERROR:PA0207] t_dpi_threads.v:18 Syntax error: extraneous input '"Only Verilator supports PLI-ish DPI calls."' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'input', 'output', 'inout', 'ref', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
SURELOG_MACRO_NOT_DEFINED:error!!! "Only Verilator supports PLI-ish DPI calls."
^-- ./slpp_unit/work/t_dpi_threads.v:18 col:39.
[ERROR:PA0203] t_dpi_threads.v:18 Unknown macro "error".
[INFO :PA0201] Parsing source file "t_dpi_vams.v".
[ERROR:PA0209] t_dpi_vams.v:7 Unsupported keyword set: "1800+VAMS".
[INFO :PA0201] Parsing source file "t_dpi_var.v".
[ERROR:PA0207] t_dpi_var.v:64 Syntax error: no viable alternative at input 'module sub (/*AUTOARG*/\n // Outputs\n fr_a, fr_b, fr_chk,\n // Inputs\n in\n );\n\nSURELOG_MACRO_NOT_DEFINED:systemc_imp_header!!! \n void',
void mon_class_name(const char* namep);
^-- ./slpp_unit/work/t_dpi_var.v:64 col:2.
[ERROR:PA0203] t_dpi_var.v:63 Unknown macro "systemc_imp_header".
[INFO :PA0201] Parsing source file "t_embed1.v".
[INFO :PA0201] Parsing source file "t_embed1_child.v".
[INFO :PA0201] Parsing source file "t_embed1_wrap.v".
[INFO :PA0201] Parsing source file "t_emit_constw.v".
[INFO :PA0201] Parsing source file "t_enum.v".
[ERROR:PA0207] t_enum.v:33 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n\n localparam FIVE = 5;\n\n enum { e0,\n\t e1,\n\t e3=3,\n\t e5=FIVE,\n\t e10_[2] = 10,\n\t e12,\n\t e20_[5:7] = 25,\n\t e20_z,\n\t e30_[7:5] = 30,\n\t e30_z\n\t } EN;\n\n enum {\n\t z5 = e5\n\t } ZN;\n\n typedef enum [',
typedef enum [2:0] { ONES=~0 } three_t;
^-- ./slpp_unit/work/t_enum.v:33 col:16.
[INFO :PA0201] Parsing source file "t_enum_bad_hide.v".
[INFO :PA0201] Parsing source file "t_enum_func.v".
[INFO :PA0201] Parsing source file "t_enum_int.v".
[INFO :PA0201] Parsing source file "t_enum_large_methods.v".
[INFO :PA0201] Parsing source file "t_enum_name2.v".
[INFO :PA0201] Parsing source file "t_enum_name3.v".
[INFO :PA0201] Parsing source file "t_enum_overlap_bad.v".
[INFO :PA0201] Parsing source file "t_enum_public.v".
[INFO :PA0201] Parsing source file "t_enum_size.v".
[INFO :PA0201] Parsing source file "t_enum_type_methods.v".
[ERROR:PA0207] t_enum_type_methods.v:13 Syntax error: no viable alternative at input 'enum [',
typedef enum [3:0] {
^-- ./slpp_unit/work/t_enum_type_methods.v:13 col:16.
[INFO :PA0201] Parsing source file "t_enum_type_pins.v".
[INFO :PA0201] Parsing source file "t_enumeration.v".
[INFO :PA0201] Parsing source file "t_extend.v".
[INFO :PA0201] Parsing source file "t_extend_class.v".
[ERROR:PA0207] t_extend_class.v:49 Syntax error: extraneous input '#' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'input', 'output', 'inout', 'ref', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
#include "t_extend_class_c.h" // Header for contained object
^-- ./slpp_unit/work/t_extend_class.v:49 col:0.
[ERROR:PA0203] t_extend_class.v:48 Unknown macro "systemc_header".
[ERROR:PA0203] t_extend_class.v:50 Unknown macro "systemc_interface".
[ERROR:PA0203] t_extend_class.v:52 Unknown macro "systemc_ctor".
[ERROR:PA0203] t_extend_class.v:54 Unknown macro "systemc_dtor".
[ERROR:PA0203] t_extend_class.v:56 Unknown macro "verilog".
[INFO :PA0201] Parsing source file "t_final.v".
[INFO :PA0201] Parsing source file "t_flag_bboxsys.v".
[INFO :PA0201] Parsing source file "t_flag_csplit.v".
[INFO :PA0201] Parsing source file "t_flag_debug_noleak.v".
[INFO :PA0201] Parsing source file "t_flag_debugi9.v".
[INFO :PA0201] Parsing source file "t_flag_define.v".
[INFO :PA0201] Parsing source file "t_flag_errorlimit_bad.v".
[INFO :PA0201] Parsing source file "t_flag_f.v".
[INFO :PA0201] Parsing source file "t_flag_f__3.v".
[INFO :PA0201] Parsing source file "t_flag_fi.v".
[INFO :PA0201] Parsing source file "t_flag_future.v".
[INFO :PA0201] Parsing source file "t_flag_getenv.v".
[INFO :PA0201] Parsing source file "t_flag_language.v".
[INFO :PA0201] Parsing source file "t_flag_ldflags.v".
[INFO :PA0201] Parsing source file "t_flag_lib.v".
[INFO :PA0201] Parsing source file "t_flag_libinc.v".
[INFO :PA0201] Parsing source file "t_flag_names.v".
[INFO :PA0201] Parsing source file "t_flag_nomod_bad.v".
[INFO :PA0201] Parsing source file "t_flag_parameter.v".
[INFO :PA0201] Parsing source file "t_flag_relinc.v".
[INFO :PA0201] Parsing source file "t_flag_skipidentical.v".
[INFO :PA0201] Parsing source file "t_flag_stats.v".
[INFO :PA0201] Parsing source file "t_flag_topmod2_bad.v".
[INFO :PA0201] Parsing source file "t_flag_topmodule.v".
[INFO :PA0201] Parsing source file "t_flag_topmodule_inline.v".
[INFO :PA0201] Parsing source file "t_flag_werror.v".
[INFO :PA0201] Parsing source file "t_flag_wfatal.v".
[INFO :PA0201] Parsing source file "t_flag_woff.v".
[INFO :PA0201] Parsing source file "t_flag_xinitial_0.v".
[INFO :PA0201] Parsing source file "t_flag_xinitial_unique.v".
[INFO :PA0201] Parsing source file "t_for_break.v".
[INFO :PA0201] Parsing source file "t_for_comma_bad.v".
[INFO :PA0201] Parsing source file "t_for_count.v".
[INFO :PA0201] Parsing source file "t_for_funcbound.v".
[INFO :PA0201] Parsing source file "t_for_init_bug.v".
[INFO :PA0201] Parsing source file "t_for_local.v".
[INFO :PA0201] Parsing source file "t_for_loop.v".
[INFO :PA0201] Parsing source file "t_foreach.v".
[INFO :PA0201] Parsing source file "t_func.v".
[INFO :PA0201] Parsing source file "t_func_bad.v".
[INFO :PA0201] Parsing source file "t_func_bad2.v".
[INFO :PA0201] Parsing source file "t_func_bad_width.v".
[INFO :PA0201] Parsing source file "t_func_begin2.v".
[INFO :PA0201] Parsing source file "t_func_check.v".
[INFO :PA0201] Parsing source file "t_func_const.v".
[INFO :PA0201] Parsing source file "t_func_const2_bad.v".
[INFO :PA0201] Parsing source file "t_func_const3_bad.v".
[INFO :PA0201] Parsing source file "t_func_const_bad.v".
[INFO :PA0201] Parsing source file "t_func_const_packed_array_bad.v".
[INFO :PA0201] Parsing source file "t_func_const_packed_struct_bad.v".
[INFO :PA0201] Parsing source file "t_func_const_packed_struct_bad2.v".
[INFO :PA0201] Parsing source file "t_func_const_struct_bad.v".
[INFO :PA0201] Parsing source file "t_func_crc.v".
[INFO :PA0201] Parsing source file "t_func_default_warn.v".
[INFO :PA0201] Parsing source file "t_func_defaults.v".
[INFO :PA0201] Parsing source file "t_func_dotted.v".
[INFO :PA0201] Parsing source file "t_func_endian.v".
[INFO :PA0201] Parsing source file "t_func_first.v".
[INFO :PA0201] Parsing source file "t_func_flip.v".
[INFO :PA0201] Parsing source file "t_func_gen.v".
[INFO :PA0201] Parsing source file "t_func_graphcirc.v".
[INFO :PA0201] Parsing source file "t_func_grey.v".
[INFO :PA0201] Parsing source file "t_func_lib.v".
[INFO :PA0201] Parsing source file "t_func_lib_sub.v".
[INFO :PA0201] Parsing source file "t_func_mlog2.v".
[INFO :PA0201] Parsing source file "t_func_named.v".
[INFO :PA0201] Parsing source file "t_func_noinl.v".
[INFO :PA0201] Parsing source file "t_func_numones.v".
[INFO :PA0201] Parsing source file "t_func_outfirst.v".
[INFO :PA0201] Parsing source file "t_func_outp.v".
[INFO :PA0201] Parsing source file "t_func_paramed.v".
[INFO :PA0201] Parsing source file "t_func_plog.v".
[INFO :PA0201] Parsing source file "t_func_public.v".
[INFO :PA0201] Parsing source file "t_func_rand.v".
[INFO :PA0201] Parsing source file "t_func_range.v".
[INFO :PA0201] Parsing source file "t_func_real_abs.v".
[INFO :PA0201] Parsing source file "t_func_real_param.v".
[INFO :PA0201] Parsing source file "t_func_regfirst.v".
[INFO :PA0201] Parsing source file "t_func_return.v".
[INFO :PA0201] Parsing source file "t_func_sum.v".
[INFO :PA0201] Parsing source file "t_func_task_bad.v".
[INFO :PA0201] Parsing source file "t_func_tie_bad.v".
[INFO :PA0201] Parsing source file "t_func_twocall.v".
[INFO :PA0201] Parsing source file "t_func_types.v".
[INFO :PA0201] Parsing source file "t_func_under.v".
[INFO :PA0201] Parsing source file "t_func_under2.v".
[INFO :PA0201] Parsing source file "t_func_unit.v".
[INFO :PA0201] Parsing source file "t_func_v.v".
[INFO :PA0201] Parsing source file "t_func_void.v".
[INFO :PA0201] Parsing source file "t_func_void_bad.v".
[INFO :PA0201] Parsing source file "t_func_while.v".
[INFO :PA0201] Parsing source file "t_func_wide.v".
[INFO :PA0201] Parsing source file "t_func_wide_out_bad.v".
[INFO :PA0201] Parsing source file "t_gate_array.v".
[INFO :PA0201] Parsing source file "t_gate_basic.v".
[INFO :PA0201] Parsing source file "t_gate_delref.v".
[INFO :PA0201] Parsing source file "t_gate_elim.v".
[INFO :PA0201] Parsing source file "t_gate_fdup.v".
[INFO :PA0201] Parsing source file "t_gate_implicit.v".
[INFO :PA0201] Parsing source file "t_gate_unsup.v".
[INFO :PA0201] Parsing source file "t_gated_clk_1.v".
[INFO :PA0201] Parsing source file "t_gen_alw.v".
[INFO :PA0201] Parsing source file "t_gen_assign.v".
[INFO :PA0201] Parsing source file "t_gen_cond_bitrange.v".
[INFO :PA0201] Parsing source file "t_gen_cond_bitrange_bad.v".
[INFO :PA0201] Parsing source file "t_gen_cond_const.v".
[INFO :PA0201] Parsing source file "t_gen_defparam.v".
[INFO :PA0201] Parsing source file "t_gen_div0.v".
[INFO :PA0201] Parsing source file "t_gen_for.v".
[INFO :PA0201] Parsing source file "t_gen_for0.v".
[INFO :PA0201] Parsing source file "t_gen_for1.v".
[INFO :PA0201] Parsing source file "t_gen_for2.v".
[INFO :PA0201] Parsing source file "t_gen_for_overlap.v".
[INFO :PA0201] Parsing source file "t_gen_for_shuffle.v".
[INFO :PA0201] Parsing source file "t_gen_forif.v".
[INFO :PA0201] Parsing source file "t_gen_if.v".
[INFO :PA0201] Parsing source file "t_gen_inc.v".
[INFO :PA0201] Parsing source file "t_gen_index.v".
[INFO :PA0201] Parsing source file "t_gen_intdot.v".
[INFO :PA0201] Parsing source file "t_gen_intdot2.v".
[INFO :PA0201] Parsing source file "t_gen_local.v".
[INFO :PA0201] Parsing source file "t_gen_lsb.v".
[INFO :PA0201] Parsing source file "t_gen_mislevel.v".
[INFO :PA0201] Parsing source file "t_gen_missing.v".
[ERROR:PA0207] t_gen_missing.v:8 Syntax error: extraneous input '"Bad Test"' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
SURELOG_MACRO_NOT_DEFINED:error!!! "Bad Test"
^-- ./slpp_unit/work/t_gen_missing.v:8 col:37.
[ERROR:PA0203] t_gen_missing.v:8 Unknown macro "error".
[INFO :PA0201] Parsing source file "t_gen_self_return.v".
[INFO :PA0201] Parsing source file "t_gen_upscope.v".
[INFO :PA0201] Parsing source file "t_gen_var_bad.v".
[INFO :PA0201] Parsing source file "t_generate_fatal_bad.v".
[INFO :PA0201] Parsing source file "t_genfor_hier.v".
[INFO :PA0201] Parsing source file "t_genvar_misuse_bad.v".
[INFO :PA0201] Parsing source file "t_hierarchy_identifier.v".
[ERROR:PA0207] t_hierarchy_identifier.v:30 Syntax error: token recognition error at: '\',
if (cnt==SIZE) begin : \0escaped___name
^-- ./slpp_unit/work/t_hierarchy_identifier.v:30 col:26.
[INFO :PA0201] Parsing source file "t_hierarchy_identifier_bad.v".
[INFO :PA0201] Parsing source file "t_hierarchy_unnamed.v".
[INFO :PA0201] Parsing source file "t_if_deep.v".
[INFO :PA0201] Parsing source file "t_iff.v".
[INFO :PA0201] Parsing source file "t_init_concat.v".
[INFO :PA0201] Parsing source file "t_initarray_nonarray.v".
[INFO :PA0201] Parsing source file "t_initial.v".
[INFO :PA0201] Parsing source file "t_initial_dlyass.v".
[INFO :PA0201] Parsing source file "t_initial_edge.v".
[INFO :PA0201] Parsing source file "t_inside.v".
[INFO :PA0201] Parsing source file "t_inside_wild.v".
[INFO :PA0201] Parsing source file "t_inst_aport.v".
[INFO :PA0201] Parsing source file "t_inst_array.v".
[INFO :PA0201] Parsing source file "t_inst_array_bad.v".
[INFO :PA0201] Parsing source file "t_inst_array_partial.v".
[INFO :PA0201] Parsing source file "t_inst_ccall.v".
[INFO :PA0201] Parsing source file "t_inst_comma.v".
[INFO :PA0201] Parsing source file "t_inst_darray.v".
[INFO :PA0201] Parsing source file "t_inst_dff.v".
[INFO :PA0201] Parsing source file "t_inst_dtree.v".
[INFO :PA0201] Parsing source file "t_inst_first.v".
[INFO :PA0201] Parsing source file "t_inst_first_a.v".
[INFO :PA0201] Parsing source file "t_inst_first_b.v".
[INFO :PA0201] Parsing source file "t_inst_implicit.v".
[INFO :PA0201] Parsing source file "t_inst_misarray_bad.v".
[INFO :PA0201] Parsing source file "t_inst_mism.v".
[INFO :PA0201] Parsing source file "t_inst_missing.v".
[ERROR:PA0207] t_inst_missing.v:10 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n wire ok = 1'b0;\n // verilator lint_off PINNOCONNECT\n // verilator lint_off PINCONNECTEMPTY\n sub sub (.ok(ok), ,',
sub sub (.ok(ok), , .nc());
^-- ./slpp_unit/work/t_inst_missing.v:10 col:21.
[INFO :PA0201] Parsing source file "t_inst_missing_bad.v".
[ERROR:PA0207] t_inst_missing_bad.v:8 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n wire ok = 1'b0;\n sub sub (.ok(ok), ,',
sub sub (.ok(ok), , .nc());
^-- ./slpp_unit/work/t_inst_missing_bad.v:8 col:21.
[INFO :PA0201] Parsing source file "t_inst_mnpipe.v".
[INFO :PA0201] Parsing source file "t_inst_notunsized.v".
[INFO :PA0201] Parsing source file "t_inst_overwide.v".
[INFO :PA0201] Parsing source file "t_inst_port_array.v".
[INFO :PA0201] Parsing source file "t_inst_prepost.v".
[INFO :PA0201] Parsing source file "t_inst_recurse2_bad.v".
[INFO :PA0201] Parsing source file "t_inst_recurse_bad.v".
[INFO :PA0201] Parsing source file "t_inst_signed.v".
[INFO :PA0201] Parsing source file "t_inst_signed1.v".
[INFO :PA0201] Parsing source file "t_inst_slice.v".
[INFO :PA0201] Parsing source file "t_inst_sv.v".
[INFO :PA0201] Parsing source file "t_inst_tree.v".
[INFO :PA0201] Parsing source file "t_inst_v2k.v".
[INFO :PA0201] Parsing source file "t_inst_wideconst.v".
[INFO :PA0201] Parsing source file "t_interface.v".
[INFO :PA0201] Parsing source file "t_interface1.v".
[INFO :PA0201] Parsing source file "t_interface1_modport.v".
[INFO :PA0201] Parsing source file "t_interface2.v".
[INFO :PA0201] Parsing source file "t_interface_array.v".
[INFO :PA0201] Parsing source file "t_interface_array_bad.v".
[INFO :PA0201] Parsing source file "t_interface_array_modport.v".
[INFO :PA0201] Parsing source file "t_interface_array_nocolon.v".
[INFO :PA0201] Parsing source file "t_interface_array_nocolon_bad.v".
[INFO :PA0201] Parsing source file "t_interface_arraymux.v".
[INFO :PA0201] Parsing source file "t_interface_bind_public.v".
[INFO :PA0201] Parsing source file "t_interface_down.v".
[INFO :PA0201] Parsing source file "t_interface_down_gen.v".
[ERROR:PA0207] t_interface_down_gen.v:75 Syntax error: no viable alternative at input 'Commercial sims choke',
SURELOG_MACRO_NOT_DEFINED:error!!! Commercial sims choke on cross ref here
^-- ./slpp_unit/work/t_interface_down_gen.v:75 col:52.
[ERROR:PA0203] t_interface_down_gen.v:75 Unknown macro "error".
[INFO :PA0201] Parsing source file "t_interface_dups.v".
[INFO :PA0201] Parsing source file "t_interface_gen.v".
[INFO :PA0201] Parsing source file "t_interface_gen10.v".
[INFO :PA0201] Parsing source file "t_interface_gen11.v".
[INFO :PA0201] Parsing source file "t_interface_gen12.v".
[INFO :PA0201] Parsing source file "t_interface_gen2.v".
[INFO :PA0201] Parsing source file "t_interface_gen3.v".
[INFO :PA0201] Parsing source file "t_interface_gen4.v".
[INFO :PA0201] Parsing source file "t_interface_gen5.v".
[INFO :PA0201] Parsing source file "t_interface_gen6.v".
[INFO :PA0201] Parsing source file "t_interface_gen7.v".
[INFO :PA0201] Parsing source file "t_interface_gen8.v".
[INFO :PA0201] Parsing source file "t_interface_gen9.v".
[INFO :PA0201] Parsing source file "t_interface_mismodport_bad.v".
[INFO :PA0201] Parsing source file "t_interface_missing_bad.v".
[INFO :PA0201] Parsing source file "t_interface_modport.v".
[INFO :PA0201] Parsing source file "t_interface_modport_bad.v".
[INFO :PA0201] Parsing source file "t_interface_modport_export.v".
[INFO :PA0201] Parsing source file "t_interface_modport_import.v".
[INFO :PA0201] Parsing source file "t_interface_modportlist.v".
[ERROR:PA0207] t_interface_modportlist.v:10 Syntax error: no viable alternative at input 'my_module m(.clk(clk), iface',
my_module m(.clk(clk), iface);
^-- ./slpp_unit/work/t_interface_modportlist.v:10 col:26.
[INFO :PA0201] Parsing source file "t_interface_mp_func.v".
[INFO :PA0201] Parsing source file "t_interface_nest.v".
[INFO :PA0201] Parsing source file "t_interface_param1.v".
[INFO :PA0201] Parsing source file "t_interface_param2.v".
[INFO :PA0201] Parsing source file "t_interface_param_another_bad.v".
[INFO :PA0201] Parsing source file "t_interface_parameter_access.v".
[INFO :PA0201] Parsing source file "t_interface_size_bad.v".
[INFO :PA0201] Parsing source file "t_interface_star.v".
[INFO :PA0201] Parsing source file "t_interface_top_bad.v".
[INFO :PA0201] Parsing source file "t_interface_twod.v".
[INFO :PA0201] Parsing source file "t_interface_typo_bad.v".
[INFO :PA0201] Parsing source file "t_interface_wrong_bad.v".
[INFO :PA0201] Parsing source file "t_langext_1.v".
[INFO :PA0201] Parsing source file "t_langext_2.v".
[INFO :PA0201] Parsing source file "t_langext_3.v".
[INFO :PA0201] Parsing source file "t_langext_order.v".
[INFO :PA0201] Parsing source file "t_langext_order_sub.v".
[INFO :PA0201] Parsing source file "t_leak.v".
[INFO :PA0201] Parsing source file "t_lint_always_comb_bad.v".
[INFO :PA0201] Parsing source file "t_lint_always_comb_iface.v".
[INFO :PA0201] Parsing source file "t_lint_blksync_bad.v".
[INFO :PA0201] Parsing source file "t_lint_blksync_loop.v".
[INFO :PA0201] Parsing source file "t_lint_block_redecl_bad.v".
[INFO :PA0201] Parsing source file "t_lint_bsspace_bad.v".
[ERROR:PA0207] t_lint_bsspace_bad.v:11 Syntax error: no viable alternative at input 'blak\n\nmodule',
module t;
^-- ./slpp_unit/work/t_lint_bsspace_bad.v:11 col:0.
[INFO :PA0201] Parsing source file "t_lint_colonplus_bad.v".
[INFO :PA0201] Parsing source file "t_lint_comb_bad.v".
[INFO :PA0201] Parsing source file "t_lint_comb_use.v".
[INFO :PA0201] Parsing source file "t_lint_declfilename.v".
[INFO :PA0201] Parsing source file "t_lint_defparam.v".
[INFO :PA0201] Parsing source file "t_lint_ifdepth_bad.v".
[INFO :PA0201] Parsing source file "t_lint_implicit.v".
[INFO :PA0201] Parsing source file "t_lint_implicit_def_bad.v".
[ERROR:PA0207] t_lint_implicit_def_bad.v:14 Syntax error: extraneous input '`default_nettype' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'input', 'output', 'inout', 'ref', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
`default_nettype none
^-- ./slpp_unit/work/t_lint_implicit_def_bad.v:14 col:0.
[INFO :PA0201] Parsing source file "t_lint_implicit_port.v".
[INFO :PA0201] Parsing source file "t_lint_import_name_bad.v".
[INFO :PA0201] Parsing source file "t_lint_importstar_bad.v".
[INFO :PA0201] Parsing source file "t_lint_in_inc_bad.v".
[ERROR:PA0207] t_lint_in_inc_bad_2.vh:8 Syntax error: mismatched input 'if' expecting '(',
if if if;
^-- ./slpp_unit/work/t_lint_in_inc_bad.v:18 col:6.
[INFO :PA0201] Parsing source file "t_lint_incabspath.v".
[INFO :PA0201] Parsing source file "t_lint_infinite.v".
[INFO :PA0201] Parsing source file "t_lint_inherit.v".
[INFO :PA0201] Parsing source file "t_lint_input_eq_bad.v".
[INFO :PA0201] Parsing source file "t_lint_latch_bad.v".
[INFO :PA0201] Parsing source file "t_lint_literal_bad.v".
[INFO :PA0201] Parsing source file "t_lint_mod_paren_bad.v".
[ERROR:PA0207] t_lint_mod_paren_bad.v:12 Syntax error: mismatched input '(' expecting ';',
) (
^-- ./slpp_unit/work/t_lint_mod_paren_bad.v:12 col:5.
[INFO :PA0201] Parsing source file "t_lint_modport_dir_bad.v".
[INFO :PA0201] Parsing source file "t_lint_multidriven_bad.v".
[INFO :PA0201] Parsing source file "t_lint_once_bad.v".
[INFO :PA0201] Parsing source file "t_lint_only.v".
[INFO :PA0201] Parsing source file "t_lint_pindup_bad.v".
[ERROR:PA0207] t_lint_pindup_bad.v:14 Syntax error: no viable alternative at input 'sub\n #(,',
#(, // Not found
^-- ./slpp_unit/work/t_lint_pindup_bad.v:14 col:7.
[INFO :PA0201] Parsing source file "t_lint_pkg_colon_bad.v".
[ERROR:PA0207] t_lint_pkg_colon_bad.v:7 Syntax error: mismatched input '::' expecting ';',
reg mispkgb::bar_t b;
^-- ./slpp_unit/work/t_lint_pkg_colon_bad.v:7 col:14.
[INFO :PA0201] Parsing source file "t_lint_realcvt_bad.v".
[INFO :PA0201] Parsing source file "t_lint_repeat_bad.v".
[INFO :PA0201] Parsing source file "t_lint_restore_bad.v".
[INFO :PA0201] Parsing source file "t_lint_rsvd_bad.v".
[ERROR:PA0207] t_lint_rsvd_bad.v:7 Syntax error: mismatched input 'endconfig' expecting {'design', 'localparam'},
endconfig
^-- ./slpp_unit/work/t_lint_rsvd_bad.v:7 col:0.
[INFO :PA0201] Parsing source file "t_lint_setout_bad.v".
[INFO :PA0201] Parsing source file "t_lint_subout_bad.v".
[INFO :PA0201] Parsing source file "t_lint_syncasyncnet_bad.v".
[INFO :PA0201] Parsing source file "t_lint_unsized_bad.v".
[INFO :PA0201] Parsing source file "t_lint_unsup_deassign.v".
[INFO :PA0201] Parsing source file "t_lint_unsup_mixed.v".
[INFO :PA0201] Parsing source file "t_lint_unused.v".
[INFO :PA0201] Parsing source file "t_lint_unused_bad.v".
[INFO :PA0201] Parsing source file "t_lint_unused_iface.v".
[INFO :PA0201] Parsing source file "t_lint_unused_iface_bad.v".
[INFO :PA0201] Parsing source file "t_lint_width.v".
[INFO :PA0201] Parsing source file "t_lint_width_bad.v".
[INFO :PA0201] Parsing source file "t_lint_width_genfor.v".
[INFO :PA0201] Parsing source file "t_lint_width_genfor_bad.v".
[INFO :PA0201] Parsing source file "t_math_arith.v".
[INFO :PA0201] Parsing source file "t_math_clog2.v".
[INFO :PA0201] Parsing source file "t_math_cmp.v".
[INFO :PA0201] Parsing source file "t_math_concat.v".
[INFO :PA0201] Parsing source file "t_math_concat0.v".
[INFO :PA0201] Parsing source file "t_math_concat64.v".
[INFO :PA0201] Parsing source file "t_math_concat_sel_bad.v".
[INFO :PA0201] Parsing source file "t_math_cond_huge.v".
[INFO :PA0201] Parsing source file "t_math_const.v".
[INFO :PA0201] Parsing source file "t_math_div.v".
[INFO :PA0201] Parsing source file "t_math_div0.v".
[INFO :PA0201] Parsing source file "t_math_divw.v".
[INFO :PA0201] Parsing source file "t_math_eq.v".
[INFO :PA0201] Parsing source file "t_math_equal.v".
[INFO :PA0201] Parsing source file "t_math_imm.v".
[INFO :PA0201] Parsing source file "t_math_imm2.v".
[INFO :PA0201] Parsing source file "t_math_msvc_64.v".
[INFO :PA0201] Parsing source file "t_math_mul.v".
[INFO :PA0201] Parsing source file "t_math_pick.v".
[INFO :PA0201] Parsing source file "t_math_pow.v".
[INFO :PA0201] Parsing source file "t_math_pow2.v".
[INFO :PA0201] Parsing source file "t_math_pow3.v".
[INFO :PA0201] Parsing source file "t_math_pow4.v".
[INFO :PA0201] Parsing source file "t_math_pow5.v".
[INFO :PA0201] Parsing source file "t_math_pow6.v".
[INFO :PA0201] Parsing source file "t_math_precedence.v".
[INFO :PA0201] Parsing source file "t_math_real.v".
[INFO :PA0201] Parsing source file "t_math_real_public.v".
[INFO :PA0201] Parsing source file "t_math_repl.v".
[INFO :PA0201] Parsing source file "t_math_reverse.v".
[INFO :PA0201] Parsing source file "t_math_shift.v".
[INFO :PA0201] Parsing source file "t_math_shift_over_bad.v".
[INFO :PA0201] Parsing source file "t_math_shift_rep.v".
[INFO :PA0201] Parsing source file "t_math_shift_sel.v".
[INFO :PA0201] Parsing source file "t_math_shiftrs.v".
[INFO :PA0201] Parsing source file "t_math_sign_extend.v".
[INFO :PA0201] Parsing source file "t_math_signed.v".
[INFO :PA0201] Parsing source file "t_math_signed2.v".
[INFO :PA0201] Parsing source file "t_math_signed3.v".
[INFO :PA0201] Parsing source file "t_math_signed4.v".
[INFO :PA0201] Parsing source file "t_math_signed5.v".
[INFO :PA0201] Parsing source file "t_math_signed6.v".
[INFO :PA0201] Parsing source file "t_math_signed7.v".
[INFO :PA0201] Parsing source file "t_math_signed_wire.v".
[INFO :PA0201] Parsing source file "t_math_strwidth.v".
[INFO :PA0201] Parsing source file "t_math_svl.v".
[INFO :PA0201] Parsing source file "t_math_svl2.v".
[INFO :PA0201] Parsing source file "t_math_swap.v".
[INFO :PA0201] Parsing source file "t_math_tri.v".
[INFO :PA0201] Parsing source file "t_math_trig.v".
[INFO :PA0201] Parsing source file "t_math_vgen.v".
[INFO :PA0201] Parsing source file "t_math_vliw.v".
[INFO :PA0201] Parsing source file "t_math_width.v".
[INFO :PA0201] Parsing source file "t_mem.v".
[INFO :PA0201] Parsing source file "t_mem_banks.v".
[INFO :PA0201] Parsing source file "t_mem_cond.v".
[INFO :PA0201] Parsing source file "t_mem_fifo.v".
[INFO :PA0201] Parsing source file "t_mem_file.v".
[INFO :PA0201] Parsing source file "t_mem_first.v".
[INFO :PA0201] Parsing source file "t_mem_func.v".
[INFO :PA0201] Parsing source file "t_mem_iforder.v".
[INFO :PA0201] Parsing source file "t_mem_multi_io.v".
[INFO :PA0201] Parsing source file "t_mem_multi_io2.v".
[INFO :PA0201] Parsing source file "t_mem_multi_io3.v".
[INFO :PA0201] Parsing source file "t_mem_multi_ref_bad.v".
[ERROR:PA0207] t_mem_multi_ref_bad.v:18 Syntax error: no viable alternative at input 'module t (/*AUTOARG*/);\n reg dimn;\n reg [1:0] dim0;\n reg [1:0] dim1 [1:0];\n reg [1:0] dim2 [1:0][1:0];\n reg dim0nv[1:0];\n\n initial begin\n dimn[1:0] = 0; // Bad: Not ranged\n dim0[1][1] = 0; // Bad: Not arrayed\n dim1[1][1][1] = 0; // Bad: Not arrayed to right depth\n dim2[1][1][1] = 0; // OK\n dim2[0 +: 1][',
dim2[0 +: 1][1] = 0; // Bad: Range on non-bits
^-- ./slpp_unit/work/t_mem_multi_ref_bad.v:18 col:18.
[INFO :PA0201] Parsing source file "t_mem_multidim.v".
[INFO :PA0201] Parsing source file "t_mem_multiwire.v".
[INFO :PA0201] Parsing source file "t_mem_packed.v".
[INFO :PA0201] Parsing source file "t_mem_packed_assign.v".
[INFO :PA0201] Parsing source file "t_mem_packed_bad.v".
[INFO :PA0201] Parsing source file "t_mem_shift.v".
[INFO :PA0201] Parsing source file "t_mem_slice.v".
[INFO :PA0201] Parsing source file "t_mem_slice_bad.v".
[ERROR:PA0207] t_mem_slice_bad.v:38 Syntax error: mismatched input '[' expecting '=',
assign active_command3[1:0][2:0][3:0] = (use_AnB) ? command_A3[1:0][2:0][3:0] : command_B3[1:0][1:0][3:0];
^-- ./slpp_unit/work/t_mem_slice_bad.v:38 col:30.
[INFO :PA0201] Parsing source file "t_mem_slice_conc_bad.v".
[INFO :PA0201] Parsing source file "t_mem_slice_dtype_bad.v".
[INFO :PA0201] Parsing source file "t_mem_slot.v".
[INFO :PA0201] Parsing source file "t_mem_twoedge.v".
[INFO :PA0201] Parsing source file "t_metacmt_onoff.v".
[INFO :PA0201] Parsing source file "t_mod_dup_bad.v".
[INFO :PA0201] Parsing source file "t_mod_dup_ign.v".
[INFO :PA0201] Parsing source file "t_mod_interface_array.v".
[INFO :PA0201] Parsing source file "t_mod_interface_array1.v".
[INFO :PA0201] Parsing source file "t_mod_interface_array2.v".
[INFO :PA0201] Parsing source file "t_mod_longname.v".
[INFO :PA0201] Parsing source file "t_mod_nomod.v".
[INFO :PA0201] Parsing source file "t_mod_recurse.v".
[INFO :PA0201] Parsing source file "t_mod_recurse1.v".
[INFO :PA0201] Parsing source file "t_multitop1.v".
[INFO :PA0201] Parsing source file "t_multitop1s.v".
[INFO :PA0201] Parsing source file "t_multitop_sig.v".
[INFO :PA0201] Parsing source file "t_optm_if_array.v".
[INFO :PA0201] Parsing source file "t_optm_redor.v".
[INFO :PA0201] Parsing source file "t_order.v".
[INFO :PA0201] Parsing source file "t_order_2d.v".
[INFO :PA0201] Parsing source file "t_order_a.v".
[INFO :PA0201] Parsing source file "t_order_b.v".
[INFO :PA0201] Parsing source file "t_order_clkinst.v".
[INFO :PA0201] Parsing source file "t_order_comboclkloop.v".
[INFO :PA0201] Parsing source file "t_order_comboloop.v".
[INFO :PA0201] Parsing source file "t_order_doubleloop.v".
[INFO :PA0201] Parsing source file "t_order_first.v".
[INFO :PA0201] Parsing source file "t_order_loop_bad.v".
[INFO :PA0201] Parsing source file "t_order_multialways.v".
[INFO :PA0201] Parsing source file "t_order_multidriven.v".
[INFO :PA0201] Parsing source file "t_order_quad.v".
[INFO :PA0201] Parsing source file "t_order_wireloop.v".
[INFO :PA0201] Parsing source file "t_package.v".
[INFO :PA0201] Parsing source file "t_package_abs.v".
[INFO :PA0201] Parsing source file "t_package_ddecl.v".
[INFO :PA0201] Parsing source file "t_package_dimport.v".
[INFO :PA0201] Parsing source file "t_package_dot.v".
[INFO :PA0201] Parsing source file "t_package_enum.v".
[INFO :PA0201] Parsing source file "t_package_export.v".
[INFO :PA0201] Parsing source file "t_package_param.v".
[INFO :PA0201] Parsing source file "t_package_twodeep.v".
[INFO :PA0201] Parsing source file "t_package_verb.v".
[INFO :PA0201] Parsing source file "t_param.v".
[INFO :PA0201] Parsing source file "t_param_array.v".
[INFO :PA0201] Parsing source file "t_param_array2.v".
[INFO :PA0201] Parsing source file "t_param_array3.v".
[INFO :PA0201] Parsing source file "t_param_avec.v".
[INFO :PA0201] Parsing source file "t_param_bit_sel.v".
[INFO :PA0201] Parsing source file "t_param_ceil.v".
[INFO :PA0201] Parsing source file "t_param_chain.v".
[INFO :PA0201] Parsing source file "t_param_circ_bad.v".
[INFO :PA0201] Parsing source file "t_param_concat.v".
[INFO :PA0201] Parsing source file "t_param_const_part.v".
[INFO :PA0201] Parsing source file "t_param_ddeep_width.v".
[INFO :PA0201] Parsing source file "t_param_default.v".
[INFO :PA0201] Parsing source file "t_param_default_bad.v".
[INFO :PA0201] Parsing source file "t_param_first.v".
[INFO :PA0201] Parsing source file "t_param_first_a.v".
[INFO :PA0201] Parsing source file "t_param_first_b.v".
[INFO :PA0201] Parsing source file "t_param_func.v".
[INFO :PA0201] Parsing source file "t_param_if_blk.v".
[INFO :PA0201] Parsing source file "t_param_local.v".
[INFO :PA0201] Parsing source file "t_param_long.v".
[INFO :PA0201] Parsing source file "t_param_mem_attr.v".
[INFO :PA0201] Parsing source file "t_param_module.v".
[INFO :PA0201] Parsing source file "t_param_named.v".
[INFO :PA0201] Parsing source file "t_param_named_2.v".
[INFO :PA0201] Parsing source file "t_param_no_parentheses.v".
[INFO :PA0201] Parsing source file "t_param_package.v".
[INFO :PA0201] Parsing source file "t_param_public.v".
[INFO :PA0201] Parsing source file "t_param_real.v".
[INFO :PA0201] Parsing source file "t_param_real2.v".
[INFO :PA0201] Parsing source file "t_param_repl.v".
[INFO :PA0201] Parsing source file "t_param_scope_bad.v".
[INFO :PA0201] Parsing source file "t_param_seg.v".
[INFO :PA0201] Parsing source file "t_param_sel.v".
[INFO :PA0201] Parsing source file "t_param_sel_range.v".
[INFO :PA0201] Parsing source file "t_param_shift.v".
[INFO :PA0201] Parsing source file "t_param_type.v".
[INFO :PA0201] Parsing source file "t_param_type2.v".
[INFO :PA0201] Parsing source file "t_param_up_bad.v".
[INFO :PA0201] Parsing source file "t_param_value.v".
[INFO :PA0201] Parsing source file "t_param_while.v".
[INFO :PA0201] Parsing source file "t_param_wide_io.v".
[INFO :PA0201] Parsing source file "t_parse_delay.v".
[INFO :PA0201] Parsing source file "t_past.v".
[INFO :PA0201] Parsing source file "t_past_bad.v".
[INFO :PA0201] Parsing source file "t_past_unsup_bad.v".
[INFO :PA0201] Parsing source file "t_pipe_filter.v".
[ERROR:PA0207] t_pipe_filter.v:10 Syntax error: no viable alternative at input 'example line 10',
example line 10;
^-- ./slpp_unit/work/t_pipe_filter.v:10 col:13.
[INFO :PA0201] Parsing source file "t_pp_circdef_bad.v".
[ERROR:PA0207] t_pp_circdef_bad.v:14 Syntax error: no viable alternative at input 'logic [12-SURELOG_MACRO_NOT_DEFINED:SEL_NUM_BITS!!!',
typedef logic [12-SURELOG_MACRO_NOT_DEFINED:SEL_NUM_BITS!!! +: SURELOG_MACRO_NOT_DEFINED:SEL_NUM_BITS!!! -1:0] d_t;
^-- ./slpp_unit/work/t_pp_circdef_bad.v:9 col:18.
[ERROR:PA0203] t_pp_circdef_bad.v:14 Unknown macro "SEL_NUM_BITS".
[INFO :PA0201] Parsing source file "t_pp_display.v".
[ERROR:PA0207] t_pp_display.v:22 Syntax error: no viable alternative at input '$display("left side : \"right side \"" // The 'left' as the variable name shouldn't match the "left" in the `" string\n initial',
initial begin
^-- ./slpp_unit/work/t_pp_display.v:24 col:3.
[INFO :PA0201] Parsing source file "t_pp_dupdef.v".
[INFO :PA0201] Parsing source file "t_pp_lib.v".
[INFO :PA0201] Parsing source file "t_pp_lib_library.v".
[ERROR:PA0207] t_pp_lib_library.v:7 Syntax error: no viable alternative at input 'input [SURELOG_MACRO_NOT_DEFINED:WIDTH!!!',
input [SURELOG_MACRO_NOT_DEFINED:WIDTH!!! -1:0] a;
^-- ./slpp_unit/work/t_pp_lib_library.v:7 col:10.
[ERROR:PA0203] t_pp_lib_library.v:7 Unknown macro "WIDTH".
[INFO :PA0201] Parsing source file "t_pp_misdef_bad.v".
[ERROR:PA0203] t_pp_misdef_bad.v:9 Unknown macro "NDEFINED".
[ERROR:PA0203] t_pp_misdef_bad.v:12 Unknown macro "imescale".
[INFO :PA0201] Parsing source file "t_pp_pragmas.v".
[ERROR:PA0203] t_pp_pragmas.v:7 Unknown macro "verilog".
[ERROR:PA0203] t_pp_pragmas.v:40 Unknown macro "remove_gatenames".
[ERROR:PA0203] t_pp_pragmas.v:42 Unknown macro "remove_netnames".
[INFO :PA0201] Parsing source file "t_pp_underline_bad.v".
[INFO :PA0201] Parsing source file "t_preproc.v".
[ERROR:PA0207] t_preproc.v:100 Syntax error: token recognition error at: '"twoline: \"first \n',
$display("twoline: \"first
^-- ./slpp_unit/work/t_preproc.v:125 col:9.
[INFO :PA0201] Parsing source file "t_preproc_def09.v".
[ERROR:PA0207] t_preproc_def09.v:12 Syntax error: mismatched input ''' expecting <EOF>,
'initial $display("start", "msg1" , "msg2" , "end");'
^-- ./slpp_unit/work/t_preproc_def09.v:10 col:0.
[INFO :PA0201] Parsing source file "t_preproc_ifdef.v".
[INFO :PA0201] Parsing source file "t_preproc_inc_bad.v".
[ERROR:PA0207] t_preproc_inc_inc_bad.vh:10 Syntax error: no viable alternative at input 'xx // intentional error\n\nendmodule',
endmodule
^-- ./slpp_unit/work/t_preproc_inc_bad.v:17 col:0.
[INFO :PA0201] Parsing source file "t_preproc_inc_notfound_bad.v".
[INFO :PA0201] Parsing source file "t_preproc_kwd.v".
[ERROR:PA0209] t_preproc_kwd.v:73 Unsupported keyword set: "VAMS-2.3".
[INFO :PA0201] Parsing source file "t_preproc_noline.v".
[ERROR:PA0207] t_preproc_noline.v:7 Syntax error: no viable alternative at input 'Hello in t_preproc_psl',
Hello in t_preproc_psl.v
^-- ./slpp_unit/work/t_preproc_noline.v:7 col:9.
[INFO :PA0201] Parsing source file "t_preproc_persist.v".
[ERROR:PA0207] t_preproc_persist.v:6 Syntax error: no viable alternative at input 'Inside "t_preproc_persist.v"',
Inside "t_preproc_persist.v".
^-- ./slpp_unit/work/t_preproc_persist.v:6 col:7.
[INFO :PA0201] Parsing source file "t_preproc_persist2.v".
[ERROR:PA0207] t_preproc_persist2.v:6 Syntax error: no viable alternative at input 'Inside "t_preproc_persist2.v"',
Inside "t_preproc_persist2.v".
^-- ./slpp_unit/work/t_preproc_persist2.v:6 col:7.
[INFO :PA0201] Parsing source file "t_preproc_persist_inc.v".
[ERROR:PA0207] t_preproc_persist_inc.v:6 Syntax error: no viable alternative at input 'Inside "t_preproc_persist_inc.v"',
Inside "t_preproc_persist_inc.v".
^-- ./slpp_unit/work/t_preproc_persist_inc.v:6 col:8.
[INFO :PA0201] Parsing source file "t_preproc_ttempty.v".
[INFO :PA0201] Parsing source file "t_preproc_undefineall.v".
[ERROR:PA0207] t_preproc_undefineall.v:8 Syntax error: extraneous input '"Test setup error, PREDEF_COMMAND_LINE pre-missing"' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
SURELOG_MACRO_NOT_DEFINED:error!!! "Test setup error, PREDEF_COMMAND_LINE pre-missing"
^-- ./slpp_unit/work/t_preproc_undefineall.v:8 col:36.
[ERROR:PA0203] t_preproc_undefineall.v:8 Unknown macro "error".
[ERROR:PA0203] t_preproc_undefineall.v:13 Unknown macro "error".
[INFO :PA0201] Parsing source file "t_program.v".
[INFO :PA0201] Parsing source file "t_real_param.v".
[INFO :PA0201] Parsing source file "t_reloop_cam.v".
[INFO :PA0201] Parsing source file "t_repeat.v".
[INFO :PA0201] Parsing source file "t_rnd.v".
[INFO :PA0201] Parsing source file "t_runflag.v".
[INFO :PA0201] Parsing source file "t_runflag_seed.v".
[INFO :PA0201] Parsing source file "t_savable.v".
[INFO :PA0201] Parsing source file "t_scope_map.v".
[INFO :PA0201] Parsing source file "t_select_bad_msb.v".
[INFO :PA0201] Parsing source file "t_select_bad_range.v".
[INFO :PA0201] Parsing source file "t_select_bad_range2.v".
[INFO :PA0201] Parsing source file "t_select_bad_range3.v".
[INFO :PA0201] Parsing source file "t_select_bad_tri.v".
[INFO :PA0201] Parsing source file "t_select_bound1.v".
[INFO :PA0201] Parsing source file "t_select_bound2.v".
[INFO :PA0201] Parsing source file "t_select_index.v".
[INFO :PA0201] Parsing source file "t_select_index2.v".
[INFO :PA0201] Parsing source file "t_select_lhs_oob.v".
[INFO :PA0201] Parsing source file "t_select_lhs_oob2.v".
[INFO :PA0201] Parsing source file "t_select_little.v".
[INFO :PA0201] Parsing source file "t_select_little_pack.v".
[INFO :PA0201] Parsing source file "t_select_loop.v".
[INFO :PA0201] Parsing source file "t_select_negative.v".
[INFO :PA0201] Parsing source file "t_select_param.v".
[INFO :PA0201] Parsing source file "t_select_plus.v".
[INFO :PA0201] Parsing source file "t_select_plusloop.v".
[INFO :PA0201] Parsing source file "t_select_runtime_range.v".
[INFO :PA0201] Parsing source file "t_select_set.v".
[INFO :PA0201] Parsing source file "t_slice_cond.v".
[INFO :PA0201] Parsing source file "t_slice_init.v".
[INFO :PA0201] Parsing source file "t_slice_struct_array_modport.v".
[INFO :PA0201] Parsing source file "t_static_elab.v".
[INFO :PA0201] Parsing source file "t_stop_bad.v".
[INFO :PA0201] Parsing source file "t_stream.v".
[INFO :PA0201] Parsing source file "t_stream2.v".
[INFO :PA0201] Parsing source file "t_stream3.v".
[INFO :PA0201] Parsing source file "t_string.v".
[INFO :PA0201] Parsing source file "t_string_type_methods.v".
[INFO :PA0201] Parsing source file "t_struct_anon.v".
[INFO :PA0201] Parsing source file "t_struct_array.v".
[INFO :PA0201] Parsing source file "t_struct_init.v".
[INFO :PA0201] Parsing source file "t_struct_nest.v".
[INFO :PA0201] Parsing source file "t_struct_notfound_bad.v".
[INFO :PA0201] Parsing source file "t_struct_packed_sysfunct.v".
[INFO :PA0201] Parsing source file "t_struct_packed_value_list.v".
[INFO :PA0201] Parsing source file "t_struct_packed_write_read.v".
[INFO :PA0201] Parsing source file "t_struct_param.v".
[INFO :PA0201] Parsing source file "t_struct_pat_width.v".
[INFO :PA0201] Parsing source file "t_struct_port.v".
[INFO :PA0201] Parsing source file "t_struct_portsel.v".
[INFO :PA0201] Parsing source file "t_struct_unaligned.v".
[INFO :PA0201] Parsing source file "t_struct_unpacked.v".
[INFO :PA0201] Parsing source file "t_struct_unpacked_bad.v".
[INFO :PA0201] Parsing source file "t_sv_bus_mux_demux.v".
[INFO :PA0201] Parsing source file "t_sv_conditional.v".
[INFO :PA0201] Parsing source file "t_sv_cpu.v".
[INFO :PA0201] Parsing source file "t_sys_file_basic.v".
[INFO :PA0201] Parsing source file "t_sys_file_scan.v".
[INFO :PA0201] Parsing source file "t_sys_fread.v".
[INFO :PA0201] Parsing source file "t_sys_plusargs.v".
[INFO :PA0201] Parsing source file "t_sys_plusargs_bad.v".
[INFO :PA0201] Parsing source file "t_sys_rand.v".
[INFO :PA0201] Parsing source file "t_sys_readmem.v".
[INFO :PA0201] Parsing source file "t_sys_readmem_bad_addr.v".
[INFO :PA0201] Parsing source file "t_sys_readmem_bad_digit.v".
[INFO :PA0201] Parsing source file "t_sys_readmem_bad_end.v".
[INFO :PA0201] Parsing source file "t_sys_readmem_bad_notfound.v".
[INFO :PA0201] Parsing source file "t_sys_sformat.v".
[INFO :PA0201] Parsing source file "t_sys_system.v".
[INFO :PA0201] Parsing source file "t_sys_time.v".
[INFO :PA0201] Parsing source file "t_table_fsm.v".
[INFO :PA0201] Parsing source file "t_threads_counter.v".
[INFO :PA0201] Parsing source file "t_trace_array.v".
[INFO :PA0201] Parsing source file "t_trace_cat.v".
[INFO :PA0201] Parsing source file "t_trace_complex.v".
[INFO :PA0201] Parsing source file "t_trace_decoration.v".
[INFO :PA0201] Parsing source file "t_trace_ena.v".
[INFO :PA0201] Parsing source file "t_trace_fst.v".
[INFO :PA0201] Parsing source file "t_trace_packed_struct.v".
[INFO :PA0201] Parsing source file "t_trace_param.v".
[INFO :PA0201] Parsing source file "t_trace_primitive.v".
[ERROR:PA0207] t_trace_primitive.v:34 Syntax error: extraneous input 'assign' expecting {'input', 'output', 'reg', '(*', 'table', 'initial'},
assign b = ~a;
^-- ./slpp_unit/work/t_trace_primitive.v:34 col:0.
[INFO :PA0201] Parsing source file "t_trace_public.v".
[INFO :PA0201] Parsing source file "t_trace_scstruct.v".
[INFO :PA0201] Parsing source file "t_trace_string.v".
[INFO :PA0201] Parsing source file "t_trace_timescale.v".
[INFO :PA0201] Parsing source file "t_tri_array.v".
[INFO :PA0201] Parsing source file "t_tri_array_bufif.v".
[INFO :PA0201] Parsing source file "t_tri_array_pull.v".
[INFO :PA0201] Parsing source file "t_tri_dangle.v".
[INFO :PA0201] Parsing source file "t_tri_eqcase.v".
[INFO :PA0201] Parsing source file "t_tri_gate.v".
[ERROR:PA0207] t_tri_gate.v:21 Syntax error: extraneous input '"Unknown test name"' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
SURELOG_MACRO_NOT_DEFINED:error!!! "Unknown test name"
^-- ./slpp_unit/work/t_tri_gate.v:21 col:37.
[ERROR:PA0203] t_tri_gate.v:21 Unknown macro "error".
[INFO :PA0201] Parsing source file "t_tri_gen.v".
[INFO :PA0201] Parsing source file "t_tri_graph.v".
[INFO :PA0201] Parsing source file "t_tri_ifbegin.v".
[INFO :PA0201] Parsing source file "t_tri_inout.v".
[INFO :PA0201] Parsing source file "t_tri_inout2.v".
[INFO :PA0201] Parsing source file "t_tri_inz.v".
[INFO :PA0201] Parsing source file "t_tri_public.v".
[INFO :PA0201] Parsing source file "t_tri_pull01.v".
[INFO :PA0201] Parsing source file "t_tri_pull2_bad.v".
[INFO :PA0201] Parsing source file "t_tri_pull_bad.v".
[INFO :PA0201] Parsing source file "t_tri_pullup.v".
[INFO :PA0201] Parsing source file "t_tri_pullvec_bad.v".
[INFO :PA0201] Parsing source file "t_tri_select.v".
[INFO :PA0201] Parsing source file "t_tri_select_unsized.v".
[INFO :PA0201] Parsing source file "t_tri_unconn.v".
[INFO :PA0201] Parsing source file "t_tri_various.v".
[INFO :PA0201] Parsing source file "t_type_param.v".
[INFO :PA0201] Parsing source file "t_typedef.v".
[INFO :PA0201] Parsing source file "t_typedef_array.v".
[INFO :PA0201] Parsing source file "t_typedef_circ_bad.v".
[INFO :PA0201] Parsing source file "t_typedef_param.v".
[INFO :PA0201] Parsing source file "t_typedef_port.v".
[INFO :PA0201] Parsing source file "t_typedef_signed.v".
[INFO :PA0201] Parsing source file "t_udp.v".
[INFO :PA0201] Parsing source file "t_udp_noname.v".
[INFO :PA0201] Parsing source file "t_uniqueif.v".
[INFO :PA0201] Parsing source file "t_unopt_array.v".
[INFO :PA0201] Parsing source file "t_unopt_bound.v".
[INFO :PA0201] Parsing source file "t_unopt_combo.v".
[INFO :PA0201] Parsing source file "t_unopt_converge.v".
[INFO :PA0201] Parsing source file "t_unopt_converge_initial.v".
[INFO :PA0201] Parsing source file "t_unoptflat_simple.v".
[INFO :PA0201] Parsing source file "t_unoptflat_simple_2.v".
[INFO :PA0201] Parsing source file "t_unoptflat_simple_3.v".
[INFO :PA0201] Parsing source file "t_unpacked_array_order.v".
[INFO :PA0201] Parsing source file "t_unroll_complexcond.v".
[INFO :PA0201] Parsing source file "t_unroll_forfor.v".
[INFO :PA0201] Parsing source file "t_unroll_genf.v".
[INFO :PA0201] Parsing source file "t_unroll_signed.v".
[INFO :PA0201] Parsing source file "t_vams_basic.v".
[ERROR:PA0209] t_vams_basic.v:6 Unsupported keyword set: "VAMS-2.3".
[INFO :PA0201] Parsing source file "t_vams_wreal.v".
[ERROR:PA0209] t_vams_wreal.v:6 Unsupported keyword set: "VAMS-2.3".
[INFO :PA0201] Parsing source file "t_var_assign_landr.v".
[INFO :PA0201] Parsing source file "t_var_bad_hide.v".
[INFO :PA0201] Parsing source file "t_var_bad_hide2.v".
[INFO :PA0201] Parsing source file "t_var_bad_sameas.v".
[INFO :PA0201] Parsing source file "t_var_bad_sv.v".
[INFO :PA0201] Parsing source file "t_var_const.v".
[INFO :PA0201] Parsing source file "t_var_const_bad.v".
[INFO :PA0201] Parsing source file "t_var_dotted.v".
[INFO :PA0201] Parsing source file "t_var_dup2.v".
[INFO :PA0201] Parsing source file "t_var_dup2_bad.v".
[INFO :PA0201] Parsing source file "t_var_dup3.v".
[INFO :PA0201] Parsing source file "t_var_dup_bad.v".
[ERROR:PA0207] t_var_dup_bad.v:65 Syntax error: extraneous input 'output' expecting {';', 'default', 'module', 'endmodule', 'extern', 'macromodule', 'interface', 'program', 'virtual', 'class', 'timeunit', 'timeprecision', 'checker', 'type', 'clocking', 'defparam', 'bind', 'const', 'function', 'new', 'static', 'constraint', 'if', 'automatic', 'localparam', 'parameter', 'specparam', 'import', 'genvar', 'typedef', 'enum', 'struct', 'union', 'string', 'chandle', 'event', '[', 'byte', 'shortint', 'int', 'longint', 'integer', 'time', 'bit', 'logic', 'reg', 'shortreal', 'real', 'realtime', 'supply0', 'supply1', 'tri', 'triand', 'trior', 'tri0', 'tri1', 'wire', 'uwire', 'wand', 'wor', 'trireg', 'signed', 'unsigned', 'interconnect', 'var', '$', 'export', DOLLAR_UNIT, '(*', 'assert', 'property', 'assume', 'cover', 'expect', 'not', 'or', 'and', 'sequence', 'covergroup', 'soft', 'pulldown', 'pullup', 'cmos', 'rcmos', 'bufif0', 'bufif1', 'notif0', 'notif1', 'nmos', 'pmos', 'rnmos', 'rpmos', 'nand', 'nor', 'xor', 'xnor', 'buf', 'tranif0', 'tranif1', 'rtranif1', 'rtranif0', 'tran', 'rtran', 'generate', 'case', 'for', 'global', 'initial', 'assign', 'alias', 'always', 'always_comb', 'always_latch', 'always_ff', 'do', 'restrict', 'let', 'this', DOLLAR_ROOT, 'randomize', 'final', 'task', 'specify', 'sample', '=', 'nettype', Escaped_identifier, Simple_identifier, '`pragma', SURELOG_MACRO_NOT_DEFINED},
output bad_reout_port;
^-- ./slpp_unit/work/t_var_dup_bad.v:65 col:3.
[INFO :PA0201] Parsing source file "t_var_escape.v".
[INFO :PA0201] Parsing source file "t_var_in_assign.v".
[INFO :PA0201] Parsing source file "t_var_in_assign_bad.v".
[INFO :PA0201] Parsing source file "t_var_init.v".
[INFO :PA0201] Parsing source file "t_var_life.v".
[INFO :PA0201] Parsing source file "t_var_local.v".
[INFO :PA0201] Parsing source file "t_var_nonamebegin.v".
[INFO :PA0201] Parsing source file "t_var_notfound_bad.v".
[INFO :PA0201] Parsing source file "t_var_outoforder.v".
[INFO :PA0201] Parsing source file "t_var_overcmp.v".
[INFO :PA0201] Parsing source file "t_var_overwidth_bad.v".
[INFO :PA0201] Parsing source file "t_var_overzero.v".
[INFO :PA0201] Parsing source file "t_var_pinsizes.v".
[INFO :PA0201] Parsing source file "t_var_port2_bad.v".
[INFO :PA0201] Parsing source file "t_var_port_bad.v".
[INFO :PA0201] Parsing source file "t_var_ref.v".
[INFO :PA0201] Parsing source file "t_var_ref_bad1.v".
[INFO :PA0201] Parsing source file "t_var_ref_bad2.v".
[INFO :PA0201] Parsing source file "t_var_ref_bad3.v".
[INFO :PA0201] Parsing source file "t_var_rsvd.v".
[INFO :PA0201] Parsing source file "t_var_rsvd_port.v".
[INFO :PA0201] Parsing source file "t_var_set_link.v".
[INFO :PA0201] Parsing source file "t_var_static.v".
[INFO :PA0201] Parsing source file "t_var_suggest_bad.v".
[INFO :PA0201] Parsing source file "t_var_tieout.v".
[INFO :PA0201] Parsing source file "t_var_types.v".
[INFO :PA0201] Parsing source file "t_var_types_bad.v".
[INFO :PA0201] Parsing source file "t_var_vec_sel.v".
[INFO :PA0201] Parsing source file "t_var_xref_gen.v".
[INFO :PA0201] Parsing source file "t_verilated_all.v".
[INFO :PA0201] Parsing source file "t_verilated_debug.v".
[INFO :PA0201] Parsing source file "t_vlt_warn.v".
[INFO :PA0201] Parsing source file "t_vpi_get.v".
[INFO :PA0201] Parsing source file "t_vpi_memory.v".
[INFO :PA0201] Parsing source file "t_vpi_sc.v".
[INFO :PA0201] Parsing source file "t_vpi_unimpl.v".
[INFO :PA0201] Parsing source file "t_vpi_var.v".
[INFO :PA0201] Parsing source file "t_wire_beh_bad.v".
[INFO :PA0201] Parsing source file "t_wire_types.v".
[INFO :PA0201] Parsing source file "t_xml_first.v".
[INFO :PA0201] Parsing source file "t_xml_tag.v".
[WARNI:PA0205] t_sv_bus_mux_demux/sv_bus_mux_demux_def.sv:9 No timescale set for "package_bus".
[WARNI:PA0205] t_sv_bus_mux_demux/sv_bus_mux_demux_def.sv:17 No timescale set for "package_str".
[WARNI:PA0205] t_sv_bus_mux_demux/sv_bus_mux_demux_def.sv:22 No timescale set for "package_uni".
[WARNI:PA0205] t_sv_bus_mux_demux/sv_bus_mux_demux_demux.sv:11 No timescale set for "sv_bus_mux_demux_demux".
[WARNI:PA0205] t_sv_bus_mux_demux/sv_bus_mux_demux_mux.sv:12 No timescale set for "sv_bus_mux_demux_mux".
[WARNI:PA0205] t_sv_bus_mux_demux/sv_bus_mux_demux_wrap.sv:28 No timescale set for "sv_bus_mux_demux_wrap".
[WARNI:PA0205] t_sv_cpu_code/ac.sv:8 No timescale set for "ac".
[WARNI:PA0205] t_sv_cpu_code/ac_ana.sv:8 No timescale set for "ac_ana".
[WARNI:PA0205] t_sv_cpu_code/ac_dig.sv:8 No timescale set for "ac_dig".
[WARNI:PA0205] t_sv_cpu_code/adrdec.sv:8 No timescale set for "adrdec".
[WARNI:PA0205] t_sv_cpu_code/chip.sv:12 No timescale set for "chip".
[WARNI:PA0205] t_sv_cpu_code/cpu.sv:8 No timescale set for "cpu".
[WARNI:PA0205] t_sv_cpu_code/genbus_if.sv:14 No timescale set for "genbus_if".
[WARNI:PA0205] t_sv_cpu_code/pad_gnd.sv:12 No timescale set for "pad_gnd".
[WARNI:PA0205] t_sv_cpu_code/pad_gpio.sv:12 No timescale set for "pad_gpio".
[WARNI:PA0205] t_sv_cpu_code/pad_vdd.sv:12 No timescale set for "pad_vdd".
[WARNI:PA0205] t_sv_cpu_code/pads.sv:8 No timescale set for "pads".
[WARNI:PA0205] t_sv_cpu_code/pads_if.sv:9 No timescale set for "pads_if".
[WARNI:PA0205] t_sv_cpu_code/ports.sv:9 No timescale set for "ports".
[WARNI:PA0205] t_EXAMPLE.v:18 No timescale set for "t".
[WARNI:PA0205] t_EXAMPLE.v:78 No timescale set for "Test".
[WARNI:PA0205] t_array_interface.v:6 No timescale set for "intf".
[WARNI:PA0205] t_array_interface.v:12 No timescale set for "modify_interface".
[WARNI:PA0205] t_array_list_bad.v:6 No timescale set for "pkg".
[WARNI:PA0205] t_array_query.v:29 No timescale set for "array_test".
[WARNI:PA0205] t_array_rev.v:42 No timescale set for "arr_rev".
[WARNI:PA0205] t_bench_mux4k.v:89 No timescale set for "mux4096".
[WARNI:PA0205] t_bench_mux4k.v:103 No timescale set for "mux4096_1bit".
[WARNI:PA0205] t_bench_mux4k.v:139 No timescale set for "mux64".
[WARNI:PA0205] t_bench_mux4k.v:171 No timescale set for "drv".
[WARNI:PA0205] t_bind.v:37 No timescale set for "InstModule".
[WARNI:PA0205] t_bind.v:44 No timescale set for "Prog".
[WARNI:PA0205] t_bind.v:48 No timescale set for "ExampInst".
[WARNI:PA0205] t_bind.v:75 No timescale set for "Prog2".
[WARNI:PA0205] t_bind2.v:50 No timescale set for "targetmod".
[WARNI:PA0205] t_bind2.v:66 No timescale set for "mycheck".
[WARNI:PA0205] t_bitsel_enum.v:6 No timescale set for "t_bitsel_enum".
[WARNI:PA0205] t_case_huge_sub.v:6 No timescale set for "t_case_huge_sub".
[WARNI:PA0205] t_case_huge_sub2.v:6 No timescale set for "t_case_huge_sub2".
[WARNI:PA0205] t_case_huge_sub3.v:6 No timescale set for "t_case_huge_sub3".
[WARNI:PA0205] t_case_huge_sub4.v:6 No timescale set for "t_case_huge_sub4".
[WARNI:PA0205] t_case_inside.v:45 No timescale set for "sub".
[WARNI:PA0205] t_case_itemwidth.v:91 No timescale set for "test".
[WARNI:PA0205] t_case_reducer.v:120 No timescale set for "clz".
[WARNI:PA0205] verilated.v:2 No timescale set for "t_case_write1_tasks".
[WARNI:PA0205] t_case_write2_tasks.v:7 No timescale set for "t_case_write2_tasks".
[WARNI:PA0205] t_cdc_async_bad.v:66 No timescale set for "Flop".
[WARNI:PA0205] t_cdc_async_bad.v:78 No timescale set for "Sub".
[WARNI:PA0205] t_cellarray.v:66 No timescale set for "drv1".
[WARNI:PA0205] t_cellarray.v:73 No timescale set for "drv2".
[WARNI:PA0205] t_cellarray.v:81 No timescale set for "drv3".
[WARNI:PA0205] t_cellarray.v:91 No timescale set for "drv4".
[WARNI:PA0205] t_chg_first.v:61 No timescale set for "t_chg_a".
[WARNI:PA0205] t_clk_concat.v:7 No timescale set for "some_module".
[WARNI:PA0205] t_clk_concat.v:30 No timescale set for "t1".
[WARNI:PA0205] t_clk_concat.v:43 No timescale set for "t2".
[WARNI:PA0205] t_clk_concat6.v:49 No timescale set for "ident".
[WARNI:PA0205] t_clk_condflop.v:69 No timescale set for "condff".
[WARNI:PA0205] t_clk_condflop.v:82 No timescale set for "condffimp".
[WARNI:PA0205] t_clk_condflop.v:104 No timescale set for "clockgate".
[WARNI:PA0205] t_clk_dsp.v:78 No timescale set for "t_dspchip".
[WARNI:PA0205] t_clk_dsp.v:119 No timescale set for "t_dspcore".
[WARNI:PA0205] t_clk_dsp.v:145 No timescale set for "t_dsppla".
[WARNI:PA0205] t_clk_first.v:30 No timescale set for "t_clk".
[WARNI:PA0205] t_clk_first.v:133 No timescale set for "t_clk_flop".
[WARNI:PA0205] t_clk_first.v:151 No timescale set for "t_clk_two".
[WARNI:PA0205] t_clk_first.v:175 No timescale set for "t_clk_twob".
[WARNI:PA0205] t_clk_inp_init.v:13 No timescale set for "dut".
[WARNI:PA0205] t_clk_latchgate.v:97 No timescale set for "llq".
[WARNI:PA0205] t_clk_latchgate.v:116 No timescale set for "ffq".
[WARNI:PA0205] t_clk_scope_bad.v:26 No timescale set for "flop".
[WARNI:PA0205] t_const_dec_mixed_bad.v:6 No timescale set for "MODULE NAME UNKNOWN".
[WARNI:PA0205] t_cover_line.v:67 No timescale set for "alpha".
[WARNI:PA0205] t_cover_line.v:87 No timescale set for "beta".
[WARNI:PA0205] t_cover_line.v:114 No timescale set for "tsk".
[WARNI:PA0205] t_cover_line.v:142 No timescale set for "off".
[WARNI:PA0205] t_crazy_sel.v:7 No timescale set for "foo_intf".
[WARNI:PA0205] t_crazy_sel.v:36 No timescale set for "foo".
[WARNI:PA0205] t_crazy_sel.v:40 No timescale set for "bar".
[WARNI:PA0205] t_dedupe_clk_gate.v:20 No timescale set for "flop_gated_latch".
[WARNI:PA0205] t_dedupe_clk_gate.v:30 No timescale set for "flop_gated_flop".
[WARNI:PA0205] t_dedupe_clk_gate.v:40 No timescale set for "clock_gate_latch".
[WARNI:PA0205] t_dedupe_clk_gate.v:52 No timescale set for "clock_gate_flop".
[WARNI:PA0205] t_dedupe_seq_logic.v:35 No timescale set for "l".
[WARNI:PA0205] t_dedupe_seq_logic.v:44 No timescale set for "ll".
[WARNI:PA0205] t_dedupe_seq_logic.v:53 No timescale set for "lll".
[WARNI:PA0205] t_dedupe_seq_logic.v:59 No timescale set for "llr".
[WARNI:PA0205] t_dedupe_seq_logic.v:65 No timescale set for "lr".
[WARNI:PA0205] t_dedupe_seq_logic.v:71 No timescale set for "r".
[WARNI:PA0205] t_dedupe_seq_logic.v:80 No timescale set for "rr".
[WARNI:PA0205] t_dedupe_seq_logic.v:86 No timescale set for "rl".
[WARNI:PA0205] t_dedupe_seq_logic.v:95 No timescale set for "rll".
[WARNI:PA0205] t_dedupe_seq_logic.v:101 No timescale set for "rlr".
[WARNI:PA0205] t_dedupe_seq_logic.v:107 No timescale set for "add".
[WARNI:PA0205] t_dedupe_seq_logic.v:116 No timescale set for "add2".
[WARNI:PA0205] t_display.v:156 No timescale set for "sub2".
[WARNI:PA0205] t_dpi_accessors.v:50 No timescale set for "test_sub".
[WARNI:PA0205] t_embed1_child.v:6 No timescale set for "t_embed1_child".
[WARNI:PA0205] t_embed1_wrap.v:6 No timescale set for "t_embed1_wrap".
[WARNI:PA0205] t_enum_name2.v:6 No timescale set for "our_pkg".
[WARNI:PA0205] t_enum_name2.v:19 No timescale set for "our".
[WARNI:PA0205] t_enum_public.v:6 No timescale set for "p3".
[WARNI:PA0205] t_enum_public.v:12 No timescale set for "p62".
[WARNI:PA0205] t_extend_class.v:33 No timescale set for "t_extend_class_v".
[WARNI:PA0205] t_final.v:6 No timescale set for "submodule".
[WARNI:PA0205] t_flag_libinc.v:6 No timescale set for "liblib_a".
[WARNI:PA0205] t_flag_libinc.v:10 No timescale set for "liblib_b".
[WARNI:PA0205] t_flag_libinc.v:17 No timescale set for "liblib_c".
[WARNI:PA0205] t_flag_libinc.v:23 No timescale set for "liblib_d".
[WARNI:PA0205] t_flag_topmod2_bad.v:6 No timescale set for "a_top".
[WARNI:PA0205] t_flag_topmod2_bad.v:14 No timescale set for "a".
[WARNI:PA0205] t_flag_topmod2_bad.v:20 No timescale set for "b".
[WARNI:PA0205] t_flag_topmod2_bad.v:23 No timescale set for "c".
[WARNI:PA0205] t_flag_topmod2_bad.v:26 No timescale set for "d".
[WARNI:PA0205] t_flag_topmodule.v:14 No timescale set for "a2".
[WARNI:PA0205] t_flag_topmodule_inline.v:22 No timescale set for "l3".
[WARNI:PA0205] t_for_funcbound.v:53 No timescale set for "strings".
[WARNI:PA0205] t_func_begin2.v:6 No timescale set for "init".
[WARNI:PA0205] t_func_check.v:33 No timescale set for "chk".
[WARNI:PA0205] t_func_const.v:6 No timescale set for "testpackage".
[WARNI:PA0205] t_func_const2_bad.v:17 No timescale set for "c9".
[WARNI:PA0205] t_func_const2_bad.v:25 No timescale set for "b8".
[WARNI:PA0205] t_func_const3_bad.v:15 No timescale set for "b9".
[WARNI:PA0205] t_func_dotted.v:66 No timescale set for "global_mod".
[WARNI:PA0205] t_func_dotted.v:76 No timescale set for "ma".
[WARNI:PA0205] t_func_dotted.v:91 No timescale set for "mb".
[WARNI:PA0205] t_func_dotted.v:119 No timescale set for "mc".
[WARNI:PA0205] t_func_grey.v:48 No timescale set for "t_func_grey2bin".
[WARNI:PA0205] t_func_lib_sub.v:7 No timescale set for "BreadAddrDP".
[WARNI:PA0205] t_func_lib_sub.v:56 No timescale set for "DecCountReg4".
[WARNI:PA0205] t_func_outp.v:48 No timescale set for "inv".
[WARNI:PA0205] t_func_outp.v:56 No timescale set for "ftest".
[WARNI:PA0205] t_func_outp.v:84 No timescale set for "mytop".
[WARNI:PA0205] t_func_paramed.v:53 No timescale set for "extractor".
[WARNI:PA0205] t_func_public.v:33 No timescale set for "tpub".
[WARNI:PA0205] t_func_regfirst.v:49 No timescale set for "f6".
[WARNI:PA0205] t_func_v.v:13 No timescale set for "level1".
[WARNI:PA0205] t_func_v.v:23 No timescale set for "level2".
[WARNI:PA0205] t_func_wide.v:30 No timescale set for "muxtop".
[WARNI:PA0205] t_gate_elim.v:66 No timescale set for "ta".
[WARNI:PA0205] t_gate_elim.v:76 No timescale set for "tb".
[WARNI:PA0205] t_gate_elim.v:86 No timescale set for "tc".
[WARNI:PA0205] t_gate_elim.v:96 No timescale set for "td".
[WARNI:PA0205] t_gate_elim.v:106 No timescale set for "te".
[WARNI:PA0205] t_gate_fdup.v:6 No timescale set for "fnor2".
[WARNI:PA0205] t_gate_implicit.v:78 No timescale set for "Mxor".
[WARNI:PA0205] t_gen_cond_bitrange.v:46 No timescale set for "test_gen".
[WARNI:PA0205] t_gen_defparam.v:26 No timescale set for "m1".
[WARNI:PA0205] t_gen_defparam.v:40 No timescale set for "m2".
[WARNI:PA0205] t_gen_for.v:54 No timescale set for "gencase".
[WARNI:PA0205] t_gen_for.v:74 No timescale set for "paramed".
[WARNI:PA0205] t_gen_for.v:129 No timescale set for "mbuf".
[WARNI:PA0205] t_gen_for.v:136 No timescale set for "enflop".
[WARNI:PA0205] t_gen_for.v:162 No timescale set for "enflop_one".
[WARNI:PA0205] t_gen_for0.v:34 No timescale set for "Testit".
[WARNI:PA0205] t_gen_for1.v:68 No timescale set for "fnxtclk".
[WARNI:PA0205] t_gen_for_overlap.v:35 No timescale set for "sub1".
[WARNI:PA0205] t_gen_forif.v:67 No timescale set for "Test_wrap1".
[WARNI:PA0205] t_gen_forif.v:75 No timescale set for "Test_wrap2".
[WARNI:PA0205] t_gen_intdot.v:45 No timescale set for "Generate".
[WARNI:PA0205] t_gen_intdot.v:58 No timescale set for "Checker".
[WARNI:PA0205] t_gen_intdot.v:77 No timescale set for "Genit".
[WARNI:PA0205] t_gen_intdot2.v:38 No timescale set for "One".
[WARNI:PA0205] t_gen_missing.v:12 No timescale set for "foobar".
[WARNI:PA0205] t_gen_missing.v:45 No timescale set for "foo0".
[WARNI:PA0205] t_gen_upscope.v:78 No timescale set for "tag".
[WARNI:PA0205] t_generate_fatal_bad.v:15 No timescale set for "foo2".
[WARNI:PA0205] t_genvar_misuse_bad.v:7 No timescale set for "top".
[WARNI:PA0205] t_init_concat.v:59 No timescale set for "regfile".
[WARNI:PA0205] t_inst_aport.v:65 No timescale set for "callee".
[WARNI:PA0205] t_inst_darray.v:9 No timescale set for "the_intf".
[WARNI:PA0205] t_inst_darray.v:26 No timescale set for "Contemplator".
[WARNI:PA0205] t_inst_darray.v:44 No timescale set for "DeepThought".
[WARNI:PA0205] t_inst_dff.v:109 No timescale set for "dff".
[WARNI:PA0205] t_inst_dtree.v:19 No timescale set for "bmod".
[WARNI:PA0205] t_inst_dtree.v:28 No timescale set for "cmod".
[WARNI:PA0205] t_inst_dtree.v:38 No timescale set for "dmod".
[WARNI:PA0205] t_inst_first_a.v:6 No timescale set for "t_inst_first_a".
[WARNI:PA0205] t_inst_first_b.v:6 No timescale set for "t_inst_first_b".
[WARNI:PA0205] t_inst_implicit.v:30 No timescale set for "subimp".
[WARNI:PA0205] t_inst_misarray_bad.v:33 No timescale set for "suba".
[WARNI:PA0205] t_inst_mnpipe.v:42 No timescale set for "dffn".
[WARNI:PA0205] t_inst_mnpipe.v:55 No timescale set for "MxN_pipeline".
[WARNI:PA0205] t_inst_notunsized.v:93 No timescale set for "Muxer".
[WARNI:PA0205] t_inst_prepost.v:31 No timescale set for "ip".
[WARNI:PA0205] t_inst_recurse2_bad.v:17 No timescale set for "looped".
[WARNI:PA0205] t_inst_recurse_bad.v:21 No timescale set for "looped2".
[WARNI:PA0205] t_inst_sv.v:61 No timescale set for "t_inst".
[WARNI:PA0205] t_inst_tree.v:63 No timescale set for "ps".
[WARNI:PA0205] t_inst_tree.v:69 No timescale set for "l1".
[WARNI:PA0205] t_inst_tree.v:76 No timescale set for "l2".
[WARNI:PA0205] t_inst_tree.v:92 No timescale set for "l4".
[WARNI:PA0205] t_inst_tree.v:100 No timescale set for "l5".
[WARNI:PA0205] t_inst_v2k.v:62 No timescale set for "hello".
[WARNI:PA0205] t_inst_wideconst.v:59 No timescale set for "wide".
[WARNI:PA0205] t_interface.v:70 No timescale set for "handshake".
[WARNI:PA0205] t_interface.v:109 No timescale set for "source".
[WARNI:PA0205] t_interface.v:141 No timescale set for "drain".
[WARNI:PA0205] t_interface1.v:8 No timescale set for "ifc".
[WARNI:PA0205] t_interface2.v:64 No timescale set for "counter_io".
[WARNI:PA0205] t_interface2.v:72 No timescale set for "ifunused".
[WARNI:PA0205] t_interface2.v:76 No timescale set for "counter_ansi".
[WARNI:PA0205] t_interface2.v:93 No timescale set for "counter_nansi".
[WARNI:PA0205] t_interface2.v:104 No timescale set for "modunused".
[WARNI:PA0205] t_interface_array_bad.v:45 No timescale set for "baz".
[WARNI:PA0205] t_interface_array_modport.v:12 No timescale set for "foo_mod".
[WARNI:PA0205] t_interface_array_nocolon.v:12 No timescale set for "foo_subm".
[WARNI:PA0205] t_interface_arraymux.v:32 No timescale set for "ThingMuxOH".
[WARNI:PA0205] t_interface_arraymux.v:43 No timescale set for "Thinker".
[WARNI:PA0205] t_interface_bind_public.v:6 No timescale set for "hex2ram_if".
[WARNI:PA0205] t_interface_bind_public.v:69 No timescale set for "testharness_ext".
[WARNI:PA0205] t_interface_bind_public.v:100 No timescale set for "SimpleTestHarness".
[WARNI:PA0205] t_interface_down.v:44 No timescale set for "wrapper".
[WARNI:PA0205] t_interface_down.v:55 No timescale set for "lower".
[WARNI:PA0205] t_interface_dups.v:88 No timescale set for "dti".
[WARNI:PA0205] t_interface_gen12.v:8 No timescale set for "foo_module".
[WARNI:PA0205] t_interface_gen12.v:16 No timescale set for "bar_module".
[WARNI:PA0205] t_interface_modport.v:6 No timescale set for "counter_if".
[WARNI:PA0205] t_interface_modport.v:103 No timescale set for "counter_ansi_m".
[WARNI:PA0205] t_interface_modport.v:116 No timescale set for "counter_nansi_m".
[WARNI:PA0205] t_interface_modport_export.v:8 No timescale set for "test_if".
[WARNI:PA0205] t_interface_modport_export.v:45 No timescale set for "testmod_callee".
[WARNI:PA0205] t_interface_modport_export.v:58 No timescale set for "testmod_caller".
[WARNI:PA0205] t_interface_modport_import.v:42 No timescale set for "testmod".
[WARNI:PA0205] t_interface_modportlist.v:13 No timescale set for "my_module".
[WARNI:PA0205] t_interface_modportlist.v:20 No timescale set for "my_interface".
[WARNI:PA0205] t_interface_nest.v:6 No timescale set for "if1".
[WARNI:PA0205] t_interface_nest.v:10 No timescale set for "if2".
[WARNI:PA0205] t_interface_nest.v:15 No timescale set for "mod1".
[WARNI:PA0205] t_interface_param1.v:21 No timescale set for "sub_test".
[WARNI:PA0205] t_interface_param2.v:17 No timescale set for "simple_bus".
[WARNI:PA0205] t_interface_param2.v:37 No timescale set for "mem".
[WARNI:PA0205] t_interface_typo_bad.v:11 No timescale set for "submod".
[WARNI:PA0205] t_interface_wrong_bad.v:11 No timescale set for "bar_intf".
[WARNI:PA0205] t_langext_order_sub.v:10 No timescale set for "t_langext_order_sub".
[WARNI:PA0205] t_lint_always_comb_iface.v:6 No timescale set for "my_if".
[WARNI:PA0205] t_lint_always_comb_iface.v:54 No timescale set for "my_module1".
[WARNI:PA0205] t_lint_always_comb_iface.v:68 No timescale set for "my_module2".
[WARNI:PA0205] t_lint_always_comb_iface.v:84 No timescale set for "my_module3".
[WARNI:PA0205] t_lint_blksync_loop.v:44 No timescale set for "reg_1r1w".
[WARNI:PA0205] t_lint_declfilename.v:10 No timescale set for "t_lint_declfilename".
[WARNI:PA0205] t_lint_implicit_port.v:20 No timescale set for "set".
[WARNI:PA0205] t_lint_implicit_port.v:27 No timescale set for "read".
[WARNI:PA0205] t_lint_import_name_bad.v:6 No timescale set for "defs".
[WARNI:PA0205] t_lint_in_inc_bad_2.vh:6 No timescale set for "x".
[WARNI:PA0205] t_lint_modport_dir_bad.v:6 No timescale set for "dummy_if".
[WARNI:PA0205] t_lint_unused.v:51 No timescale set for "udp_mux2".
[WARNI:PA0205] t_lint_width_bad.v:35 No timescale set for "p".
[WARNI:PA0205] t_math_cmp.v:71 No timescale set for "prover".
[WARNI:PA0205] t_math_imm.v:73 No timescale set for "example".
[WARNI:PA0205] t_math_imm2.v:13 No timescale set for "t_math_imm2".
[WARNI:PA0205] t_math_pow4.v:43 No timescale set for "test004".
[WARNI:PA0205] t_math_real.v:141 No timescale set for "sub_cast_bug374".
[WARNI:PA0205] t_math_signed.v:164 No timescale set for "by_width".
[WARNI:PA0205] t_math_signed_wire.v:29 No timescale set for "Test1".
[WARNI:PA0205] t_math_signed_wire.v:41 No timescale set for "Test2".
[WARNI:PA0205] t_math_vliw.v:58 No timescale set for "vliw".
[WARNI:PA0205] t_mem_fifo.v:65 No timescale set for "fifo".
[WARNI:PA0205] t_mem_file.v:76 No timescale set for "file".
[WARNI:PA0205] t_mem_multi_io.v:39 No timescale set for "has_array".
[WARNI:PA0205] t_mem_multi_io3.v:34 No timescale set for "testio".
[WARNI:PA0205] t_mem_multiwire.v:53 No timescale set for "inst".
[WARNI:PA0205] t_mem_multiwire.v:75 No timescale set for "inst2".
[WARNI:PA0205] t_mem_slice_conc_bad.v:68 No timescale set for "bbb".
[WARNI:PA0205] t_mem_slice_conc_bad.v:101 No timescale set for "aaa".
[WARNI:PA0205] t_mem_slot.v:7 No timescale set for "t_mem_slot".
[WARNI:PA0205] t_mod_interface_array.v:8 No timescale set for "a_if".
[WARNI:PA0205] t_mod_interface_array.v:14 No timescale set for "intf_source".
[WARNI:PA0205] t_mod_interface_array.v:26 No timescale set for "intf_sink".
[WARNI:PA0205] t_mod_longname.v:28 No timescale set for "modlongnameiuqyrewewriqyewroiquyweriuqyewriuyewrioryqoiewyriuewyrqrqioeyriuqyewriuqyeworqiurewyqoiuewyrqiuewoyewriuoeyqiuewryqiuewyroiqyewiuryqeiuwryuqiyreoiqyewiuryqewiruyqiuewyroiuqyewroiuyqewoiryqiewuyrqiuewyroqiyewriuqyewrewqroiuyqiuewyriuqyewroiqyewroiquewyriuqyewroiqewyriuqewyroiqyewroiyewoiuryqoiewyriuqyewiuryqoierwyqoiuewyrewoiuyqroiewuryewurqyoiweyrqiuewyreqwroiyweroiuyqweoiuryqiuewyroiuqyroie".
[WARNI:PA0205] t_mod_recurse.v:62 No timescale set for "PriorityChoice".
[WARNI:PA0205] t_mod_recurse1.v:12 No timescale set for "rec".
[WARNI:PA0205] t_mod_recurse1.v:28 No timescale set for "bottom".
[WARNI:PA0205] t_multitop1s.v:6 No timescale set for "t_multitop1s".
[WARNI:PA0205] t_multitop1s.v:10 No timescale set for "in_subfile".
[WARNI:PA0205] t_order_a.v:6 No timescale set for "t_order_a".
[WARNI:PA0205] t_order_b.v:6 No timescale set for "t_order_b".
[WARNI:PA0205] t_order_clkinst.v:61 No timescale set for "comb_loop".
[WARNI:PA0205] t_order_clkinst.v:90 No timescale set for "seq_loop".
[WARNI:PA0205] t_order_first.v:20 No timescale set for "t_netlist".
[WARNI:PA0205] t_order_multidriven.v:61 No timescale set for "FooWr".
[WARNI:PA0205] t_order_multidriven.v:86 No timescale set for "FooRd".
[WARNI:PA0205] t_order_multidriven.v:125 No timescale set for "FooMem".
[WARNI:PA0205] t_order_multidriven.v:151 No timescale set for "FooMemImpl".
[WARNI:PA0205] t_package.v:20 No timescale set for "p2".
[WARNI:PA0205] t_package_abs.v:8 No timescale set for "functions".
[WARNI:PA0205] t_package_dot.v:13 No timescale set for "csr_pkg".
[WARNI:PA0205] t_package_export.v:8 No timescale set for "pkg1".
[WARNI:PA0205] t_package_export.v:14 No timescale set for "pkg10".
[WARNI:PA0205] t_package_export.v:19 No timescale set for "pkg11".
[WARNI:PA0205] t_package_export.v:23 No timescale set for "pkg20".
[WARNI:PA0205] t_package_export.v:27 No timescale set for "pkg21".
[WARNI:PA0205] t_package_export.v:31 No timescale set for "pkg30".
[WARNI:PA0205] t_package_export.v:35 No timescale set for "pkg31".
[WARNI:PA0205] t_package_twodeep.v:8 No timescale set for "pkg2".
[WARNI:PA0205] t_package_verb.v:7 No timescale set for "verb_pkg".
[WARNI:PA0205] t_param.v:57 No timescale set for "m3".
[WARNI:PA0205] t_param.v:67 No timescale set for "mnooverride".
[WARNI:PA0205] t_param_array.v:78 No timescale set for "checkstr".
[WARNI:PA0205] t_param_ddeep_width.v:13 No timescale set for "paramtest_WRAP".
[WARNI:PA0205] t_param_ddeep_width.v:20 No timescale set for "paramtest_DFFRE".
[WARNI:PA0205] t_param_default.v:6 No timescale set for "m".
[WARNI:PA0205] t_param_first_a.v:6 No timescale set for "t_param_first_a".
[WARNI:PA0205] t_param_first_b.v:6 No timescale set for "t_param_first_b".
[WARNI:PA0205] t_param_if_blk.v:71 No timescale set for "Nested".
[WARNI:PA0205] t_param_long.v:94 No timescale set for "i".
[WARNI:PA0205] t_param_mem_attr.v:34 No timescale set for "memory".
[WARNI:PA0205] t_param_package.v:12 No timescale set for "params".
[WARNI:PA0205] t_param_package.v:16 No timescale set for "Test0".
[WARNI:PA0205] t_param_real.v:6 No timescale set for "mod".
[WARNI:PA0205] t_param_type.v:54 No timescale set for "mod_typ".
[WARNI:PA0205] t_param_type2.v:6 No timescale set for "tt_pkg".
[WARNI:PA0205] t_param_type2.v:31 No timescale set for "tt_buf".
[WARNI:PA0205] t_param_up_bad.v:12 No timescale set for "child".
[WARNI:PA0205] t_param_up_bad.v:18 No timescale set for "parent".
[WARNI:PA0205] t_pp_lib_library.v:6 No timescale set for "library_cell".
[WARNI:PA0205] t_preproc_inc_inc_bad.vh:6 No timescale set for "xx".
[WARNI:PA0205] t_preproc_kwd.v:28 No timescale set for "v95".
[WARNI:PA0205] t_preproc_kwd.v:34 No timescale set for "v01".
[WARNI:PA0205] t_preproc_kwd.v:40 No timescale set for "v05".
[WARNI:PA0205] t_preproc_kwd.v:46 No timescale set for "s05".
[WARNI:PA0205] t_preproc_kwd.v:52 No timescale set for "s09".
[WARNI:PA0205] t_preproc_kwd.v:58 No timescale set for "s12".
[WARNI:PA0205] t_preproc_kwd.v:66 No timescale set for "s17".
[WARNI:PA0205] t_preproc_kwd.v:74 No timescale set for "a23".
[WARNI:PA0205] t_reloop_cam.v:95 No timescale set for "cam".
[WARNI:PA0205] t_struct_array.v:6 No timescale set for "TEST_TYPES".
[WARNI:PA0205] t_struct_param.v:7 No timescale set for "config_pkg".
[WARNI:PA0205] t_struct_param.v:40 No timescale set for "struct_submodule".
[WARNI:PA0205] t_sv_conditional.v:62 No timescale set for "st3_testbench".
[WARNI:PA0205] t_sv_conditional.v:145 No timescale set for "simple_test_3".
[WARNI:PA0205] t_sv_conditional.v:230 No timescale set for "counterA".
[WARNI:PA0205] t_sv_conditional.v:277 No timescale set for "counterB".
[WARNI:PA0205] t_sv_conditional.v:311 No timescale set for "simple_test_3a".
[WARNI:PA0205] t_sv_conditional.v:331 No timescale set for "simple_test_3b".
[WARNI:PA0205] t_sv_conditional.v:363 No timescale set for "simple_test_3c".
[WARNI:PA0205] t_sv_conditional.v:395 No timescale set for "simple_test_3d".
[WARNI:PA0205] t_sv_conditional.v:425 No timescale set for "simple_test_3e".
[WARNI:PA0205] t_sv_conditional.v:449 No timescale set for "simple_test_3f".
[WARNI:PA0205] t_sv_cpu.v:79 No timescale set for "testbench".
[WARNI:PA0205] t_trace_param.v:6 No timescale set for "my_funcs".
[WARNI:PA0205] t_trace_param.v:14 No timescale set for "my_module_types".
[WARNI:PA0205] t_trace_primitive.v:31 No timescale set for "CINV".
[WARNI:PA0205] t_trace_public.v:32 No timescale set for "glbl".
[WARNI:PA0205] t_trace_public.v:36 No timescale set for "neg".
[WARNI:PA0205] t_trace_public.v:51 No timescale set for "little".
[WARNI:PA0205] t_tri_array.v:65 No timescale set for "Pad".
[WARNI:PA0205] t_tri_array_pull.v:6 No timescale set for "IOBUF".
[WARNI:PA0205] t_tri_gate.v:15 No timescale set for "pass".
[WARNI:PA0205] t_tri_gate.v:20 No timescale set for "tbuf".
[WARNI:PA0205] t_tri_gate.v:24 No timescale set for "mux".
[WARNI:PA0205] t_tri_gen.v:27 No timescale set for "updown".
[WARNI:PA0205] t_tri_gen.v:39 No timescale set for "t_up".
[WARNI:PA0205] t_tri_gen.v:42 No timescale set for "t_down".
[WARNI:PA0205] t_tri_inout.v:15 No timescale set for "io".
[WARNI:PA0205] t_tri_inout2.v:59 No timescale set for "ChildA".
[WARNI:PA0205] t_tri_inout2.v:73 No timescale set for "ChildB".
[WARNI:PA0205] t_tri_public.v:47 No timescale set for "sub_mod".
[WARNI:PA0205] t_tri_pull01.v:71 No timescale set for "t_tri2".
[WARNI:PA0205] t_tri_pull01.v:84 No timescale set for "t_tri3".
[WARNI:PA0205] t_tri_pullup.v:24 No timescale set for "pullup_module".
[WARNI:PA0205] t_tri_select.v:35 No timescale set for "io_ring".
[WARNI:PA0205] t_tri_unconn.v:78 No timescale set for "t_tri0".
[WARNI:PA0205] t_tri_unconn.v:90 No timescale set for "t_tri1".
[WARNI:PA0205] t_tri_various.v:146 No timescale set for "Test3".
[WARNI:PA0205] t_tri_various.v:154 No timescale set for "Test4".
[WARNI:PA0205] t_tri_various.v:159 No timescale set for "Test5".
[WARNI:PA0205] t_tri_various.v:167 No timescale set for "Test6".
[WARNI:PA0205] t_tri_various.v:173 No timescale set for "Test6a".
[WARNI:PA0205] t_tri_various.v:177 No timescale set for "Test7".
[WARNI:PA0205] t_type_param.v:15 No timescale set for "foo_wrapper".
[WARNI:PA0205] t_typedef_param.v:77 No timescale set for "TestNonAnsi".
[WARNI:PA0205] t_typedef_param.v:94 No timescale set for "TestAnsi".
[WARNI:PA0205] t_udp.v:115 No timescale set for "udp_latch".
[WARNI:PA0205] t_udp.v:126 No timescale set for "udp_dff".
[WARNI:PA0205] t_udp_noname.v:35 No timescale set for "udp".
[WARNI:PA0205] t_unoptflat_simple_3.v:41 No timescale set for "test1".
[WARNI:PA0205] t_unoptflat_simple_3.v:60 No timescale set for "test2".
[WARNI:PA0205] t_vams_wreal.v:87 No timescale set for "through".
[WARNI:PA0205] t_vams_wreal.v:93 No timescale set for "within_range".
[WARNI:PA0205] t_vams_wreal.v:106 No timescale set for "wreal_bus".
[WARNI:PA0205] t_vams_wreal.v:114 No timescale set for "first_level".
[WARNI:PA0205] t_vams_wreal.v:121 No timescale set for "second_level".
[WARNI:PA0205] t_var_dup_bad.v:46 No timescale set for "sub0".
[WARNI:PA0205] t_var_dup_bad.v:68 No timescale set for "sub3".
[WARNI:PA0205] t_var_in_assign.v:59 No timescale set for "z".
[WARNI:PA0205] t_var_notfound_bad.v:32 No timescale set for "subsub".
[WARNI:PA0205] t_var_overzero.v:33 No timescale set for "tsub".
[WARNI:PA0205] t_var_port_bad.v:11 No timescale set for "subok".
[WARNI:PA0205] t_vpi_var.v:87 No timescale set for "arr".
[WARNI:PA0205] t_xml_first.v:44 No timescale set for "mod2".
[ERROR:PA0206] t_sv_bus_mux_demux/sv_bus_mux_demux_def.sv:9 Missing timeunit/timeprecision for "package_bus".
[ERROR:PA0206] t_sv_bus_mux_demux/sv_bus_mux_demux_def.sv:17 Missing timeunit/timeprecision for "package_str".
[ERROR:PA0206] t_sv_bus_mux_demux/sv_bus_mux_demux_def.sv:22 Missing timeunit/timeprecision for "package_uni".
[ERROR:PA0206] t_sv_bus_mux_demux/sv_bus_mux_demux_demux.sv:11 Missing timeunit/timeprecision for "sv_bus_mux_demux_demux".
[ERROR:PA0206] t_sv_bus_mux_demux/sv_bus_mux_demux_mux.sv:12 Missing timeunit/timeprecision for "sv_bus_mux_demux_mux".
[ERROR:PA0206] t_sv_bus_mux_demux/sv_bus_mux_demux_wrap.sv:28 Missing timeunit/timeprecision for "sv_bus_mux_demux_wrap".
[ERROR:PA0206] t_sv_cpu_code/ac.sv:8 Missing timeunit/timeprecision for "ac".
[ERROR:PA0206] t_sv_cpu_code/ac_ana.sv:8 Missing timeunit/timeprecision for "ac_ana".
[ERROR:PA0206] t_sv_cpu_code/ac_dig.sv:8 Missing timeunit/timeprecision for "ac_dig".
[ERROR:PA0206] t_sv_cpu_code/adrdec.sv:8 Missing timeunit/timeprecision for "adrdec".
[ERROR:PA0206] t_sv_cpu_code/chip.sv:12 Missing timeunit/timeprecision for "chip".
[ERROR:PA0206] t_sv_cpu_code/cpu.sv:8 Missing timeunit/timeprecision for "cpu".
[ERROR:PA0206] t_sv_cpu_code/genbus_if.sv:14 Missing timeunit/timeprecision for "genbus_if".
[ERROR:PA0206] t_sv_cpu_code/pad_gnd.sv:12 Missing timeunit/timeprecision for "pad_gnd".
[ERROR:PA0206] t_sv_cpu_code/pad_gpio.sv:12 Missing timeunit/timeprecision for "pad_gpio".
[ERROR:PA0206] t_sv_cpu_code/pad_vdd.sv:12 Missing timeunit/timeprecision for "pad_vdd".
[ERROR:PA0206] t_sv_cpu_code/pads.sv:8 Missing timeunit/timeprecision for "pads".
[ERROR:PA0206] t_sv_cpu_code/pads_if.sv:9 Missing timeunit/timeprecision for "pads_if".
[ERROR:PA0206] t_sv_cpu_code/ports.sv:9 Missing timeunit/timeprecision for "ports".
[ERROR:PA0206] t_EXAMPLE.v:18 Missing timeunit/timeprecision for "t".
[ERROR:PA0206] t_EXAMPLE.v:78 Missing timeunit/timeprecision for "Test".
[ERROR:PA0206] t_altera_lpm.v:60 Missing timeunit/timeprecision for "LPM_MEMORY_INITIALIZATION".
[ERROR:PA0206] t_altera_lpm.v:1265 Missing timeunit/timeprecision for "LPM_HINT_EVALUATION".
[ERROR:PA0206] t_altera_lpm.v:1375 Missing timeunit/timeprecision for "LPM_DEVICE_FAMILIES".
[ERROR:PA0206] t_altera_lpm.v:1585 Missing timeunit/timeprecision for "lpm_constant".
[ERROR:PA0206] t_altera_lpm.v:1636 Missing timeunit/timeprecision for "lpm_inv".
[ERROR:PA0206] t_altera_lpm.v:1689 Missing timeunit/timeprecision for "lpm_and".
[ERROR:PA0206] t_altera_lpm.v:1769 Missing timeunit/timeprecision for "lpm_or".
[ERROR:PA0206] t_altera_lpm.v:1850 Missing timeunit/timeprecision for "lpm_xor".
[ERROR:PA0206] t_altera_lpm.v:1979 Missing timeunit/timeprecision for "lpm_bustri".
[ERROR:PA0206] t_altera_lpm.v:2065 Missing timeunit/timeprecision for "lpm_mux".
[ERROR:PA0206] t_altera_lpm.v:2200 Missing timeunit/timeprecision for "lpm_decode".
[ERROR:PA0206] t_altera_lpm.v:2328 Missing timeunit/timeprecision for "lpm_clshift".
[ERROR:PA0206] t_altera_lpm.v:2613 Missing timeunit/timeprecision for "lpm_add_sub".
[ERROR:PA0206] t_altera_lpm.v:2819 Missing timeunit/timeprecision for "lpm_compare".
[ERROR:PA0206] t_altera_lpm.v:2993 Missing timeunit/timeprecision for "lpm_mult".
[ERROR:PA0206] t_altera_lpm.v:3279 Missing timeunit/timeprecision for "lpm_divide".
[ERROR:PA0206] t_altera_lpm.v:3486 Missing timeunit/timeprecision for "lpm_abs".
[ERROR:PA0206] t_altera_lpm.v:3550 Missing timeunit/timeprecision for "lpm_counter".
[ERROR:PA0206] t_altera_lpm.v:3836 Missing timeunit/timeprecision for "lpm_latch".
[ERROR:PA0206] t_altera_lpm.v:3960 Missing timeunit/timeprecision for "lpm_ff".
[ERROR:PA0206] t_altera_lpm.v:4179 Missing timeunit/timeprecision for "lpm_shiftreg".
[ERROR:PA0206] t_altera_lpm.v:4383 Missing timeunit/timeprecision for "lpm_ram_dq".
[ERROR:PA0206] t_altera_lpm.v:4639 Missing timeunit/timeprecision for "lpm_ram_dp".
[ERROR:PA0206] t_altera_lpm.v:4930 Missing timeunit/timeprecision for "lpm_ram_io".
[ERROR:PA0206] t_altera_lpm.v:5192 Missing timeunit/timeprecision for "lpm_rom".
[ERROR:PA0206] t_altera_lpm.v:5407 Missing timeunit/timeprecision for "lpm_fifo".
[ERROR:PA0206] t_altera_lpm.v:5738 Missing timeunit/timeprecision for "lpm_fifo_dc_dffpipe".
[ERROR:PA0206] t_altera_lpm.v:5825 Missing timeunit/timeprecision for "lpm_fifo_dc_fefifo".
[ERROR:PA0206] t_altera_lpm.v:6033 Missing timeunit/timeprecision for "lpm_fifo_dc_async".
[ERROR:PA0206] t_altera_lpm.v:6470 Missing timeunit/timeprecision for "lpm_fifo_dc".
[ERROR:PA0206] t_altera_lpm.v:6593 Missing timeunit/timeprecision for "lpm_inpad".
[ERROR:PA0206] t_altera_lpm.v:6649 Missing timeunit/timeprecision for "lpm_outpad".
[ERROR:PA0206] t_altera_lpm.v:6705 Missing timeunit/timeprecision for "lpm_bipad".
[ERROR:PA0206] t_array_interface.v:6 Missing timeunit/timeprecision for "intf".
[ERROR:PA0206] t_array_interface.v:12 Missing timeunit/timeprecision for "modify_interface".
[ERROR:PA0206] t_array_list_bad.v:6 Missing timeunit/timeprecision for "pkg".
[ERROR:PA0206] t_array_query.v:29 Missing timeunit/timeprecision for "array_test".
[ERROR:PA0206] t_array_rev.v:42 Missing timeunit/timeprecision for "arr_rev".
[ERROR:PA0206] t_bench_mux4k.v:89 Missing timeunit/timeprecision for "mux4096".
[ERROR:PA0206] t_bench_mux4k.v:103 Missing timeunit/timeprecision for "mux4096_1bit".
[ERROR:PA0206] t_bench_mux4k.v:139 Missing timeunit/timeprecision for "mux64".
[ERROR:PA0206] t_bench_mux4k.v:171 Missing timeunit/timeprecision for "drv".
[ERROR:PA0206] t_bind.v:37 Missing timeunit/timeprecision for "InstModule".
[ERROR:PA0206] t_bind.v:44 Missing timeunit/timeprecision for "Prog".
[ERROR:PA0206] t_bind.v:48 Missing timeunit/timeprecision for "ExampInst".
[ERROR:PA0206] t_bind.v:75 Missing timeunit/timeprecision for "Prog2".
[ERROR:PA0206] t_bind2.v:50 Missing timeunit/timeprecision for "targetmod".
[ERROR:PA0206] t_bind2.v:66 Missing timeunit/timeprecision for "mycheck".
[ERROR:PA0206] t_bitsel_enum.v:6 Missing timeunit/timeprecision for "t_bitsel_enum".
[ERROR:PA0206] t_case_huge_sub.v:6 Missing timeunit/timeprecision for "t_case_huge_sub".
[ERROR:PA0206] t_case_huge_sub2.v:6 Missing timeunit/timeprecision for "t_case_huge_sub2".
[ERROR:PA0206] t_case_huge_sub3.v:6 Missing timeunit/timeprecision for "t_case_huge_sub3".
[ERROR:PA0206] t_case_huge_sub4.v:6 Missing timeunit/timeprecision for "t_case_huge_sub4".
[ERROR:PA0206] t_case_inside.v:45 Missing timeunit/timeprecision for "sub".
[ERROR:PA0206] t_case_itemwidth.v:91 Missing timeunit/timeprecision for "test".
[ERROR:PA0206] t_case_reducer.v:120 Missing timeunit/timeprecision for "clz".
[ERROR:PA0206] verilated.v:2 Missing timeunit/timeprecision for "t_case_write1_tasks".
[ERROR:PA0206] t_case_write2_tasks.v:7 Missing timeunit/timeprecision for "t_case_write2_tasks".
[ERROR:PA0206] t_cdc_async_bad.v:66 Missing timeunit/timeprecision for "Flop".
[ERROR:PA0206] t_cdc_async_bad.v:78 Missing timeunit/timeprecision for "Sub".
[ERROR:PA0206] t_cellarray.v:66 Missing timeunit/timeprecision for "drv1".
[ERROR:PA0206] t_cellarray.v:73 Missing timeunit/timeprecision for "drv2".
[ERROR:PA0206] t_cellarray.v:81 Missing timeunit/timeprecision for "drv3".
[ERROR:PA0206] t_cellarray.v:91 Missing timeunit/timeprecision for "drv4".
[ERROR:PA0206] t_chg_first.v:61 Missing timeunit/timeprecision for "t_chg_a".
[ERROR:PA0206] t_clk_concat.v:7 Missing timeunit/timeprecision for "some_module".
[ERROR:PA0206] t_clk_concat.v:30 Missing timeunit/timeprecision for "t1".
[ERROR:PA0206] t_clk_concat.v:43 Missing timeunit/timeprecision for "t2".
[ERROR:PA0206] t_clk_concat6.v:49 Missing timeunit/timeprecision for "ident".
[ERROR:PA0206] t_clk_condflop.v:69 Missing timeunit/timeprecision for "condff".
[ERROR:PA0206] t_clk_condflop.v:82 Missing timeunit/timeprecision for "condffimp".
[ERROR:PA0206] t_clk_condflop.v:104 Missing timeunit/timeprecision for "clockgate".
[ERROR:PA0206] t_clk_dsp.v:78 Missing timeunit/timeprecision for "t_dspchip".
[ERROR:PA0206] t_clk_dsp.v:119 Missing timeunit/timeprecision for "t_dspcore".
[ERROR:PA0206] t_clk_dsp.v:145 Missing timeunit/timeprecision for "t_dsppla".
[ERROR:PA0206] t_clk_first.v:30 Missing timeunit/timeprecision for "t_clk".
[ERROR:PA0206] t_clk_first.v:133 Missing timeunit/timeprecision for "t_clk_flop".
[ERROR:PA0206] t_clk_first.v:151 Missing timeunit/timeprecision for "t_clk_two".
[ERROR:PA0206] t_clk_first.v:175 Missing timeunit/timeprecision for "t_clk_twob".
[ERROR:PA0206] t_clk_inp_init.v:13 Missing timeunit/timeprecision for "dut".
[ERROR:PA0206] t_clk_latchgate.v:97 Missing timeunit/timeprecision for "llq".
[ERROR:PA0206] t_clk_latchgate.v:116 Missing timeunit/timeprecision for "ffq".
[ERROR:PA0206] t_clk_scope_bad.v:26 Missing timeunit/timeprecision for "flop".
[ERROR:PA0206] t_const_dec_mixed_bad.v:6 Missing timeunit/timeprecision for "MODULE NAME UNKNOWN".
[ERROR:PA0206] t_cover_line.v:67 Missing timeunit/timeprecision for "alpha".
[ERROR:PA0206] t_cover_line.v:87 Missing timeunit/timeprecision for "beta".
[ERROR:PA0206] t_cover_line.v:114 Missing timeunit/timeprecision for "tsk".
[ERROR:PA0206] t_cover_line.v:142 Missing timeunit/timeprecision for "off".
[ERROR:PA0206] t_crazy_sel.v:7 Missing timeunit/timeprecision for "foo_intf".
[ERROR:PA0206] t_crazy_sel.v:36 Missing timeunit/timeprecision for "foo".
[ERROR:PA0206] t_crazy_sel.v:40 Missing timeunit/timeprecision for "bar".
[ERROR:PA0206] t_dedupe_clk_gate.v:20 Missing timeunit/timeprecision for "flop_gated_latch".
[ERROR:PA0206] t_dedupe_clk_gate.v:30 Missing timeunit/timeprecision for "flop_gated_flop".
[ERROR:PA0206] t_dedupe_clk_gate.v:40 Missing timeunit/timeprecision for "clock_gate_latch".
[ERROR:PA0206] t_dedupe_clk_gate.v:52 Missing timeunit/timeprecision for "clock_gate_flop".
[ERROR:PA0206] t_dedupe_seq_logic.v:35 Missing timeunit/timeprecision for "l".
[ERROR:PA0206] t_dedupe_seq_logic.v:44 Missing timeunit/timeprecision for "ll".
[ERROR:PA0206] t_dedupe_seq_logic.v:53 Missing timeunit/timeprecision for "lll".
[ERROR:PA0206] t_dedupe_seq_logic.v:59 Missing timeunit/timeprecision for "llr".
[ERROR:PA0206] t_dedupe_seq_logic.v:65 Missing timeunit/timeprecision for "lr".
[ERROR:PA0206] t_dedupe_seq_logic.v:71 Missing timeunit/timeprecision for "r".
[ERROR:PA0206] t_dedupe_seq_logic.v:80 Missing timeunit/timeprecision for "rr".
[ERROR:PA0206] t_dedupe_seq_logic.v:86 Missing timeunit/timeprecision for "rl".
[ERROR:PA0206] t_dedupe_seq_logic.v:95 Missing timeunit/timeprecision for "rll".
[ERROR:PA0206] t_dedupe_seq_logic.v:101 Missing timeunit/timeprecision for "rlr".
[ERROR:PA0206] t_dedupe_seq_logic.v:107 Missing timeunit/timeprecision for "add".
[ERROR:PA0206] t_dedupe_seq_logic.v:116 Missing timeunit/timeprecision for "add2".
[ERROR:PA0206] t_display.v:156 Missing timeunit/timeprecision for "sub2".
[ERROR:PA0206] t_dpi_accessors.v:50 Missing timeunit/timeprecision for "test_sub".
[ERROR:PA0206] t_embed1_child.v:6 Missing timeunit/timeprecision for "t_embed1_child".
[ERROR:PA0206] t_embed1_wrap.v:6 Missing timeunit/timeprecision for "t_embed1_wrap".
[ERROR:PA0206] t_enum_name2.v:6 Missing timeunit/timeprecision for "our_pkg".
[ERROR:PA0206] t_enum_name2.v:19 Missing timeunit/timeprecision for "our".
[ERROR:PA0206] t_enum_public.v:6 Missing timeunit/timeprecision for "p3".
[ERROR:PA0206] t_enum_public.v:12 Missing timeunit/timeprecision for "p62".
[ERROR:PA0206] t_extend_class.v:33 Missing timeunit/timeprecision for "t_extend_class_v".
[ERROR:PA0206] t_final.v:6 Missing timeunit/timeprecision for "submodule".
[ERROR:PA0206] t_flag_libinc.v:6 Missing timeunit/timeprecision for "liblib_a".
[ERROR:PA0206] t_flag_libinc.v:10 Missing timeunit/timeprecision for "liblib_b".
[ERROR:PA0206] t_flag_libinc.v:17 Missing timeunit/timeprecision for "liblib_c".
[ERROR:PA0206] t_flag_libinc.v:23 Missing timeunit/timeprecision for "liblib_d".
[ERROR:PA0206] t_flag_topmod2_bad.v:6 Missing timeunit/timeprecision for "a_top".
[ERROR:PA0206] t_flag_topmod2_bad.v:14 Missing timeunit/timeprecision for "a".
[ERROR:PA0206] t_flag_topmod2_bad.v:20 Missing timeunit/timeprecision for "b".
[ERROR:PA0206] t_flag_topmod2_bad.v:23 Missing timeunit/timeprecision for "c".
[ERROR:PA0206] t_flag_topmod2_bad.v:26 Missing timeunit/timeprecision for "d".
[ERROR:PA0206] t_flag_topmodule.v:14 Missing timeunit/timeprecision for "a2".
[ERROR:PA0206] t_flag_topmodule_inline.v:22 Missing timeunit/timeprecision for "l3".
[ERROR:PA0206] t_for_funcbound.v:53 Missing timeunit/timeprecision for "strings".
[ERROR:PA0206] t_func_begin2.v:6 Missing timeunit/timeprecision for "init".
[ERROR:PA0206] t_func_check.v:33 Missing timeunit/timeprecision for "chk".
[ERROR:PA0206] t_func_const.v:6 Missing timeunit/timeprecision for "testpackage".
[ERROR:PA0206] t_func_const2_bad.v:17 Missing timeunit/timeprecision for "c9".
[ERROR:PA0206] t_func_const2_bad.v:25 Missing timeunit/timeprecision for "b8".
[ERROR:PA0206] t_func_const3_bad.v:15 Missing timeunit/timeprecision for "b9".
[ERROR:PA0206] t_func_dotted.v:66 Missing timeunit/timeprecision for "global_mod".
[ERROR:PA0206] t_func_dotted.v:76 Missing timeunit/timeprecision for "ma".
[ERROR:PA0206] t_func_dotted.v:91 Missing timeunit/timeprecision for "mb".
[ERROR:PA0206] t_func_dotted.v:119 Missing timeunit/timeprecision for "mc".
[ERROR:PA0206] t_func_grey.v:48 Missing timeunit/timeprecision for "t_func_grey2bin".
[ERROR:PA0206] t_func_lib_sub.v:7 Missing timeunit/timeprecision for "BreadAddrDP".
[ERROR:PA0206] t_func_lib_sub.v:56 Missing timeunit/timeprecision for "DecCountReg4".
[ERROR:PA0206] t_func_outp.v:48 Missing timeunit/timeprecision for "inv".
[ERROR:PA0206] t_func_outp.v:56 Missing timeunit/timeprecision for "ftest".
[ERROR:PA0206] t_func_outp.v:84 Missing timeunit/timeprecision for "mytop".
[ERROR:PA0206] t_func_paramed.v:53 Missing timeunit/timeprecision for "extractor".
[ERROR:PA0206] t_func_public.v:33 Missing timeunit/timeprecision for "tpub".
[ERROR:PA0206] t_func_regfirst.v:49 Missing timeunit/timeprecision for "f6".
[ERROR:PA0206] t_func_v.v:13 Missing timeunit/timeprecision for "level1".
[ERROR:PA0206] t_func_v.v:23 Missing timeunit/timeprecision for "level2".
[ERROR:PA0206] t_func_wide.v:30 Missing timeunit/timeprecision for "muxtop".
[ERROR:PA0206] t_gate_elim.v:66 Missing timeunit/timeprecision for "ta".
[ERROR:PA0206] t_gate_elim.v:76 Missing timeunit/timeprecision for "tb".
[ERROR:PA0206] t_gate_elim.v:86 Missing timeunit/timeprecision for "tc".
[ERROR:PA0206] t_gate_elim.v:96 Missing timeunit/timeprecision for "td".
[ERROR:PA0206] t_gate_elim.v:106 Missing timeunit/timeprecision for "te".
[ERROR:PA0206] t_gate_fdup.v:6 Missing timeunit/timeprecision for "fnor2".
[ERROR:PA0206] t_gate_implicit.v:78 Missing timeunit/timeprecision for "Mxor".
[ERROR:PA0206] t_gen_assign.v:46 Missing timeunit/timeprecision for "assigns".
[ERROR:PA0206] t_gen_cond_bitrange.v:46 Missing timeunit/timeprecision for "test_gen".
[ERROR:PA0206] t_gen_defparam.v:26 Missing timeunit/timeprecision for "m1".
[ERROR:PA0206] t_gen_defparam.v:40 Missing timeunit/timeprecision for "m2".
[ERROR:PA0206] t_gen_for.v:54 Missing timeunit/timeprecision for "gencase".
[ERROR:PA0206] t_gen_for.v:74 Missing timeunit/timeprecision for "paramed".
[ERROR:PA0206] t_gen_for.v:129 Missing timeunit/timeprecision for "mbuf".
[ERROR:PA0206] t_gen_for.v:136 Missing timeunit/timeprecision for "enflop".
[ERROR:PA0206] t_gen_for.v:162 Missing timeunit/timeprecision for "enflop_one".
[ERROR:PA0206] t_gen_for0.v:34 Missing timeunit/timeprecision for "Testit".
[ERROR:PA0206] t_gen_for1.v:68 Missing timeunit/timeprecision for "fnxtclk".
[ERROR:PA0206] t_gen_for_overlap.v:35 Missing timeunit/timeprecision for "sub1".
[ERROR:PA0206] t_gen_forif.v:67 Missing timeunit/timeprecision for "Test_wrap1".
[ERROR:PA0206] t_gen_forif.v:75 Missing timeunit/timeprecision for "Test_wrap2".
[ERROR:PA0206] t_gen_intdot.v:45 Missing timeunit/timeprecision for "Generate".
[ERROR:PA0206] t_gen_intdot.v:58 Missing timeunit/timeprecision for "Checker".
[ERROR:PA0206] t_gen_intdot.v:77 Missing timeunit/timeprecision for "Genit".
[ERROR:PA0206] t_gen_intdot2.v:38 Missing timeunit/timeprecision for "One".
[ERROR:PA0206] t_gen_missing.v:12 Missing timeunit/timeprecision for "foobar".
[ERROR:PA0206] t_gen_missing.v:45 Missing timeunit/timeprecision for "foo0".
[ERROR:PA0206] t_gen_upscope.v:78 Missing timeunit/timeprecision for "tag".
[ERROR:PA0206] t_generate_fatal_bad.v:15 Missing timeunit/timeprecision for "foo2".
[ERROR:PA0206] t_genvar_misuse_bad.v:7 Missing timeunit/timeprecision for "top".
[ERROR:PA0206] t_init_concat.v:59 Missing timeunit/timeprecision for "regfile".
[ERROR:PA0206] t_initial_edge.v:69 Missing timeunit/timeprecision for "initial_edge_n".
[ERROR:PA0206] t_initial_edge.v:85 Missing timeunit/timeprecision for "initial_edge".
[ERROR:PA0206] t_inst_aport.v:65 Missing timeunit/timeprecision for "callee".
[ERROR:PA0206] t_inst_darray.v:9 Missing timeunit/timeprecision for "the_intf".
[ERROR:PA0206] t_inst_darray.v:26 Missing timeunit/timeprecision for "Contemplator".
[ERROR:PA0206] t_inst_darray.v:44 Missing timeunit/timeprecision for "DeepThought".
[ERROR:PA0206] t_inst_dff.v:109 Missing timeunit/timeprecision for "dff".
[ERROR:PA0206] t_inst_dtree.v:19 Missing timeunit/timeprecision for "bmod".
[ERROR:PA0206] t_inst_dtree.v:28 Missing timeunit/timeprecision for "cmod".
[ERROR:PA0206] t_inst_dtree.v:38 Missing timeunit/timeprecision for "dmod".
[ERROR:PA0206] t_inst_first_a.v:6 Missing timeunit/timeprecision for "t_inst_first_a".
[ERROR:PA0206] t_inst_first_b.v:6 Missing timeunit/timeprecision for "t_inst_first_b".
[ERROR:PA0206] t_inst_implicit.v:30 Missing timeunit/timeprecision for "subimp".
[ERROR:PA0206] t_inst_misarray_bad.v:33 Missing timeunit/timeprecision for "suba".
[ERROR:PA0206] t_inst_mnpipe.v:42 Missing timeunit/timeprecision for "dffn".
[ERROR:PA0206] t_inst_mnpipe.v:55 Missing timeunit/timeprecision for "MxN_pipeline".
[ERROR:PA0206] t_inst_notunsized.v:93 Missing timeunit/timeprecision for "Muxer".
[ERROR:PA0206] t_inst_prepost.v:31 Missing timeunit/timeprecision for "ip".
[ERROR:PA0206] t_inst_recurse2_bad.v:17 Missing timeunit/timeprecision for "looped".
[ERROR:PA0206] t_inst_recurse_bad.v:21 Missing timeunit/timeprecision for "looped2".
[ERROR:PA0206] t_inst_sv.v:61 Missing timeunit/timeprecision for "t_inst".
[ERROR:PA0206] t_inst_tree.v:63 Missing timeunit/timeprecision for "ps".
[ERROR:PA0206] t_inst_tree.v:69 Missing timeunit/timeprecision for "l1".
[ERROR:PA0206] t_inst_tree.v:76 Missing timeunit/timeprecision for "l2".
[ERROR:PA0206] t_inst_tree.v:92 Missing timeunit/timeprecision for "l4".
[ERROR:PA0206] t_inst_tree.v:100 Missing timeunit/timeprecision for "l5".
[ERROR:PA0206] t_inst_v2k.v:62 Missing timeunit/timeprecision for "hello".
[ERROR:PA0206] t_inst_wideconst.v:59 Missing timeunit/timeprecision for "wide".
[ERROR:PA0206] t_interface.v:70 Missing timeunit/timeprecision for "handshake".
[ERROR:PA0206] t_interface.v:109 Missing timeunit/timeprecision for "source".
[ERROR:PA0206] t_interface.v:141 Missing timeunit/timeprecision for "drain".
[ERROR:PA0206] t_interface1.v:8 Missing timeunit/timeprecision for "ifc".
[ERROR:PA0206] t_interface2.v:64 Missing timeunit/timeprecision for "counter_io".
[ERROR:PA0206] t_interface2.v:72 Missing timeunit/timeprecision for "ifunused".
[ERROR:PA0206] t_interface2.v:76 Missing timeunit/timeprecision for "counter_ansi".
[ERROR:PA0206] t_interface2.v:93 Missing timeunit/timeprecision for "counter_nansi".
[ERROR:PA0206] t_interface2.v:104 Missing timeunit/timeprecision for "modunused".
[ERROR:PA0206] t_interface_array_bad.v:45 Missing timeunit/timeprecision for "baz".
[ERROR:PA0206] t_interface_array_modport.v:12 Missing timeunit/timeprecision for "foo_mod".
[ERROR:PA0206] t_interface_array_nocolon.v:12 Missing timeunit/timeprecision for "foo_subm".
[ERROR:PA0206] t_interface_arraymux.v:32 Missing timeunit/timeprecision for "ThingMuxOH".
[ERROR:PA0206] t_interface_arraymux.v:43 Missing timeunit/timeprecision for "Thinker".
[ERROR:PA0206] t_interface_bind_public.v:6 Missing timeunit/timeprecision for "hex2ram_if".
[ERROR:PA0206] t_interface_bind_public.v:69 Missing timeunit/timeprecision for "testharness_ext".
[ERROR:PA0206] t_interface_bind_public.v:100 Missing timeunit/timeprecision for "SimpleTestHarness".
[ERROR:PA0206] t_interface_down.v:44 Missing timeunit/timeprecision for "wrapper".
[ERROR:PA0206] t_interface_down.v:55 Missing timeunit/timeprecision for "lower".
[ERROR:PA0206] t_interface_dups.v:88 Missing timeunit/timeprecision for "dti".
[ERROR:PA0206] t_interface_gen12.v:8 Missing timeunit/timeprecision for "foo_module".
[ERROR:PA0206] t_interface_gen12.v:16 Missing timeunit/timeprecision for "bar_module".
[ERROR:PA0206] t_interface_modport.v:6 Missing timeunit/timeprecision for "counter_if".
[ERROR:PA0206] t_interface_modport.v:103 Missing timeunit/timeprecision for "counter_ansi_m".
[ERROR:PA0206] t_interface_modport.v:116 Missing timeunit/timeprecision for "counter_nansi_m".
[ERROR:PA0206] t_interface_modport_export.v:8 Missing timeunit/timeprecision for "test_if".
[ERROR:PA0206] t_interface_modport_export.v:45 Missing timeunit/timeprecision for "testmod_callee".
[ERROR:PA0206] t_interface_modport_export.v:58 Missing timeunit/timeprecision for "testmod_caller".
[ERROR:PA0206] t_interface_modport_import.v:42 Missing timeunit/timeprecision for "testmod".
[ERROR:PA0206] t_interface_modportlist.v:13 Missing timeunit/timeprecision for "my_module".
[ERROR:PA0206] t_interface_modportlist.v:20 Missing timeunit/timeprecision for "my_interface".
[ERROR:PA0206] t_interface_nest.v:6 Missing timeunit/timeprecision for "if1".
[ERROR:PA0206] t_interface_nest.v:10 Missing timeunit/timeprecision for "if2".
[ERROR:PA0206] t_interface_nest.v:15 Missing timeunit/timeprecision for "mod1".
[ERROR:PA0206] t_interface_param1.v:21 Missing timeunit/timeprecision for "sub_test".
[ERROR:PA0206] t_interface_param2.v:17 Missing timeunit/timeprecision for "simple_bus".
[ERROR:PA0206] t_interface_param2.v:37 Missing timeunit/timeprecision for "mem".
[ERROR:PA0206] t_interface_typo_bad.v:11 Missing timeunit/timeprecision for "submod".
[ERROR:PA0206] t_interface_wrong_bad.v:11 Missing timeunit/timeprecision for "bar_intf".
[ERROR:PA0206] t_langext_order_sub.v:10 Missing timeunit/timeprecision for "t_langext_order_sub".
[ERROR:PA0206] t_lint_always_comb_iface.v:6 Missing timeunit/timeprecision for "my_if".
[ERROR:PA0206] t_lint_always_comb_iface.v:54 Missing timeunit/timeprecision for "my_module1".
[ERROR:PA0206] t_lint_always_comb_iface.v:68 Missing timeunit/timeprecision for "my_module2".
[ERROR:PA0206] t_lint_always_comb_iface.v:84 Missing timeunit/timeprecision for "my_module3".
[ERROR:PA0206] t_lint_blksync_loop.v:44 Missing timeunit/timeprecision for "reg_1r1w".
[ERROR:PA0206] t_lint_declfilename.v:10 Missing timeunit/timeprecision for "t_lint_declfilename".
[ERROR:PA0206] t_lint_implicit_port.v:20 Missing timeunit/timeprecision for "set".
[ERROR:PA0206] t_lint_implicit_port.v:27 Missing timeunit/timeprecision for "read".
[ERROR:PA0206] t_lint_import_name_bad.v:6 Missing timeunit/timeprecision for "defs".
[ERROR:PA0206] t_lint_in_inc_bad_2.vh:6 Missing timeunit/timeprecision for "x".
[ERROR:PA0206] t_lint_modport_dir_bad.v:6 Missing timeunit/timeprecision for "dummy_if".
[ERROR:PA0206] t_lint_unused.v:51 Missing timeunit/timeprecision for "udp_mux2".
[ERROR:PA0206] t_lint_width_bad.v:35 Missing timeunit/timeprecision for "p".
[ERROR:PA0206] t_math_cmp.v:71 Missing timeunit/timeprecision for "prover".
[ERROR:PA0206] t_math_imm.v:73 Missing timeunit/timeprecision for "example".
[ERROR:PA0206] t_math_imm2.v:13 Missing timeunit/timeprecision for "t_math_imm2".
[ERROR:PA0206] t_math_pow4.v:43 Missing timeunit/timeprecision for "test004".
[ERROR:PA0206] t_math_real.v:141 Missing timeunit/timeprecision for "sub_cast_bug374".
[ERROR:PA0206] t_math_signed.v:164 Missing timeunit/timeprecision for "by_width".
[ERROR:PA0206] t_math_signed_wire.v:29 Missing timeunit/timeprecision for "Test1".
[ERROR:PA0206] t_math_signed_wire.v:41 Missing timeunit/timeprecision for "Test2".
[ERROR:PA0206] t_math_vliw.v:58 Missing timeunit/timeprecision for "vliw".
[ERROR:PA0206] t_mem_fifo.v:65 Missing timeunit/timeprecision for "fifo".
[ERROR:PA0206] t_mem_file.v:76 Missing timeunit/timeprecision for "file".
[ERROR:PA0206] t_mem_multi_io.v:39 Missing timeunit/timeprecision for "has_array".
[ERROR:PA0206] t_mem_multi_io3.v:34 Missing timeunit/timeprecision for "testio".
[ERROR:PA0206] t_mem_multiwire.v:53 Missing timeunit/timeprecision for "inst".
[ERROR:PA0206] t_mem_multiwire.v:75 Missing timeunit/timeprecision for "inst2".
[ERROR:PA0206] t_mem_slice_conc_bad.v:68 Missing timeunit/timeprecision for "bbb".
[ERROR:PA0206] t_mem_slice_conc_bad.v:101 Missing timeunit/timeprecision for "aaa".
[ERROR:PA0206] t_mem_slot.v:7 Missing timeunit/timeprecision for "t_mem_slot".
[ERROR:PA0206] t_mod_interface_array.v:8 Missing timeunit/timeprecision for "a_if".
[ERROR:PA0206] t_mod_interface_array.v:14 Missing timeunit/timeprecision for "intf_source".
[ERROR:PA0206] t_mod_interface_array.v:26 Missing timeunit/timeprecision for "intf_sink".
[ERROR:PA0206] t_mod_longname.v:28 Missing timeunit/timeprecision for "modlongnameiuqyrewewriqyewroiquyweriuqyewriuyewrioryqoiewyriuewyrqrqioeyriuqyewriuqyeworqiurewyqoiuewyrqiuewoyewriuoeyqiuewryqiuewyroiqyewiuryqeiuwryuqiyreoiqyewiuryqewiruyqiuewyroiuqyewroiuyqewoiryqiewuyrqiuewyroqiyewriuqyewrewqroiuyqiuewyriuqyewroiqyewroiquewyriuqyewroiqewyriuqewyroiqyewroiyewoiuryqoiewyriuqyewiuryqoierwyqoiuewyrewoiuyqroiewuryewurqyoiweyrqiuewyreqwroiyweroiuyqweoiuryqiuewyroiuqyroie".
[ERROR:PA0206] t_mod_recurse.v:62 Missing timeunit/timeprecision for "PriorityChoice".
[ERROR:PA0206] t_mod_recurse1.v:12 Missing timeunit/timeprecision for "rec".
[ERROR:PA0206] t_mod_recurse1.v:28 Missing timeunit/timeprecision for "bottom".
[ERROR:PA0206] t_multitop1s.v:6 Missing timeunit/timeprecision for "t_multitop1s".
[ERROR:PA0206] t_multitop1s.v:10 Missing timeunit/timeprecision for "in_subfile".
[ERROR:PA0206] t_order_a.v:6 Missing timeunit/timeprecision for "t_order_a".
[ERROR:PA0206] t_order_b.v:6 Missing timeunit/timeprecision for "t_order_b".
[ERROR:PA0206] t_order_clkinst.v:61 Missing timeunit/timeprecision for "comb_loop".
[ERROR:PA0206] t_order_clkinst.v:90 Missing timeunit/timeprecision for "seq_loop".
[ERROR:PA0206] t_order_first.v:20 Missing timeunit/timeprecision for "t_netlist".
[ERROR:PA0206] t_order_multidriven.v:61 Missing timeunit/timeprecision for "FooWr".
[ERROR:PA0206] t_order_multidriven.v:86 Missing timeunit/timeprecision for "FooRd".
[ERROR:PA0206] t_order_multidriven.v:125 Missing timeunit/timeprecision for "FooMem".
[ERROR:PA0206] t_order_multidriven.v:151 Missing timeunit/timeprecision for "FooMemImpl".
[ERROR:PA0206] t_package.v:20 Missing timeunit/timeprecision for "p2".
[ERROR:PA0206] t_package_abs.v:8 Missing timeunit/timeprecision for "functions".
[ERROR:PA0206] t_package_dot.v:13 Missing timeunit/timeprecision for "csr_pkg".
[ERROR:PA0206] t_package_export.v:8 Missing timeunit/timeprecision for "pkg1".
[ERROR:PA0206] t_package_export.v:14 Missing timeunit/timeprecision for "pkg10".
[ERROR:PA0206] t_package_export.v:19 Missing timeunit/timeprecision for "pkg11".
[ERROR:PA0206] t_package_export.v:23 Missing timeunit/timeprecision for "pkg20".
[ERROR:PA0206] t_package_export.v:27 Missing timeunit/timeprecision for "pkg21".
[ERROR:PA0206] t_package_export.v:31 Missing timeunit/timeprecision for "pkg30".
[ERROR:PA0206] t_package_export.v:35 Missing timeunit/timeprecision for "pkg31".
[ERROR:PA0206] t_package_twodeep.v:8 Missing timeunit/timeprecision for "pkg2".
[ERROR:PA0206] t_package_verb.v:7 Missing timeunit/timeprecision for "verb_pkg".
[ERROR:PA0206] t_param.v:57 Missing timeunit/timeprecision for "m3".
[ERROR:PA0206] t_param.v:67 Missing timeunit/timeprecision for "mnooverride".
[ERROR:PA0206] t_param_array.v:78 Missing timeunit/timeprecision for "checkstr".
[ERROR:PA0206] t_param_ddeep_width.v:13 Missing timeunit/timeprecision for "paramtest_WRAP".
[ERROR:PA0206] t_param_ddeep_width.v:20 Missing timeunit/timeprecision for "paramtest_DFFRE".
[ERROR:PA0206] t_param_default.v:6 Missing timeunit/timeprecision for "m".
[ERROR:PA0206] t_param_first_a.v:6 Missing timeunit/timeprecision for "t_param_first_a".
[ERROR:PA0206] t_param_first_b.v:6 Missing timeunit/timeprecision for "t_param_first_b".
[ERROR:PA0206] t_param_if_blk.v:71 Missing timeunit/timeprecision for "Nested".
[ERROR:PA0206] t_param_long.v:94 Missing timeunit/timeprecision for "i".
[ERROR:PA0206] t_param_mem_attr.v:34 Missing timeunit/timeprecision for "memory".
[ERROR:PA0206] t_param_package.v:12 Missing timeunit/timeprecision for "params".
[ERROR:PA0206] t_param_package.v:16 Missing timeunit/timeprecision for "Test0".
[ERROR:PA0206] t_param_real.v:6 Missing timeunit/timeprecision for "mod".
[ERROR:PA0206] t_param_type.v:54 Missing timeunit/timeprecision for "mod_typ".
[ERROR:PA0206] t_param_type2.v:6 Missing timeunit/timeprecision for "tt_pkg".
[ERROR:PA0206] t_param_type2.v:31 Missing timeunit/timeprecision for "tt_buf".
[ERROR:PA0206] t_param_up_bad.v:12 Missing timeunit/timeprecision for "child".
[ERROR:PA0206] t_param_up_bad.v:18 Missing timeunit/timeprecision for "parent".
[ERROR:PA0206] t_pp_lib_library.v:6 Missing timeunit/timeprecision for "library_cell".
[ERROR:PA0206] t_preproc_inc_inc_bad.vh:6 Missing timeunit/timeprecision for "xx".
[ERROR:PA0206] t_preproc_kwd.v:28 Missing timeunit/timeprecision for "v95".
[ERROR:PA0206] t_preproc_kwd.v:34 Missing timeunit/timeprecision for "v01".
[ERROR:PA0206] t_preproc_kwd.v:40 Missing timeunit/timeprecision for "v05".
[ERROR:PA0206] t_preproc_kwd.v:46 Missing timeunit/timeprecision for "s05".
[ERROR:PA0206] t_preproc_kwd.v:52 Missing timeunit/timeprecision for "s09".
[ERROR:PA0206] t_preproc_kwd.v:58 Missing timeunit/timeprecision for "s12".
[ERROR:PA0206] t_preproc_kwd.v:66 Missing timeunit/timeprecision for "s17".
[ERROR:PA0206] t_preproc_kwd.v:74 Missing timeunit/timeprecision for "a23".
[ERROR:PA0206] t_reloop_cam.v:95 Missing timeunit/timeprecision for "cam".
[ERROR:PA0206] t_struct_array.v:6 Missing timeunit/timeprecision for "TEST_TYPES".
[ERROR:PA0206] t_struct_param.v:7 Missing timeunit/timeprecision for "config_pkg".
[ERROR:PA0206] t_struct_param.v:40 Missing timeunit/timeprecision for "struct_submodule".
[ERROR:PA0206] t_sv_conditional.v:62 Missing timeunit/timeprecision for "st3_testbench".
[ERROR:PA0206] t_sv_conditional.v:145 Missing timeunit/timeprecision for "simple_test_3".
[ERROR:PA0206] t_sv_conditional.v:230 Missing timeunit/timeprecision for "counterA".
[ERROR:PA0206] t_sv_conditional.v:277 Missing timeunit/timeprecision for "counterB".
[ERROR:PA0206] t_sv_conditional.v:311 Missing timeunit/timeprecision for "simple_test_3a".
[ERROR:PA0206] t_sv_conditional.v:331 Missing timeunit/timeprecision for "simple_test_3b".
[ERROR:PA0206] t_sv_conditional.v:363 Missing timeunit/timeprecision for "simple_test_3c".
[ERROR:PA0206] t_sv_conditional.v:395 Missing timeunit/timeprecision for "simple_test_3d".
[ERROR:PA0206] t_sv_conditional.v:425 Missing timeunit/timeprecision for "simple_test_3e".
[ERROR:PA0206] t_sv_conditional.v:449 Missing timeunit/timeprecision for "simple_test_3f".
[ERROR:PA0206] t_sv_cpu.v:79 Missing timeunit/timeprecision for "testbench".
[ERROR:PA0206] t_trace_param.v:6 Missing timeunit/timeprecision for "my_funcs".
[ERROR:PA0206] t_trace_param.v:14 Missing timeunit/timeprecision for "my_module_types".
[ERROR:PA0206] t_trace_primitive.v:31 Missing timeunit/timeprecision for "CINV".
[ERROR:PA0206] t_trace_public.v:32 Missing timeunit/timeprecision for "glbl".
[ERROR:PA0206] t_trace_public.v:36 Missing timeunit/timeprecision for "neg".
[ERROR:PA0206] t_trace_public.v:51 Missing timeunit/timeprecision for "little".
[ERROR:PA0206] t_tri_array.v:65 Missing timeunit/timeprecision for "Pad".
[ERROR:PA0206] t_tri_array_pull.v:6 Missing timeunit/timeprecision for "IOBUF".
[ERROR:PA0206] t_tri_gate.v:15 Missing timeunit/timeprecision for "pass".
[ERROR:PA0206] t_tri_gate.v:20 Missing timeunit/timeprecision for "tbuf".
[ERROR:PA0206] t_tri_gate.v:24 Missing timeunit/timeprecision for "mux".
[ERROR:PA0206] t_tri_gen.v:27 Missing timeunit/timeprecision for "updown".
[ERROR:PA0206] t_tri_gen.v:39 Missing timeunit/timeprecision for "t_up".
[ERROR:PA0206] t_tri_gen.v:42 Missing timeunit/timeprecision for "t_down".
[ERROR:PA0206] t_tri_inout.v:15 Missing timeunit/timeprecision for "io".
[ERROR:PA0206] t_tri_inout2.v:59 Missing timeunit/timeprecision for "ChildA".
[ERROR:PA0206] t_tri_inout2.v:73 Missing timeunit/timeprecision for "ChildB".
[ERROR:PA0206] t_tri_public.v:47 Missing timeunit/timeprecision for "sub_mod".
[ERROR:PA0206] t_tri_pull01.v:71 Missing timeunit/timeprecision for "t_tri2".
[ERROR:PA0206] t_tri_pull01.v:84 Missing timeunit/timeprecision for "t_tri3".
[ERROR:PA0206] t_tri_pullup.v:24 Missing timeunit/timeprecision for "pullup_module".
[ERROR:PA0206] t_tri_select.v:35 Missing timeunit/timeprecision for "io_ring".
[ERROR:PA0206] t_tri_unconn.v:78 Missing timeunit/timeprecision for "t_tri0".
[ERROR:PA0206] t_tri_unconn.v:90 Missing timeunit/timeprecision for "t_tri1".
[ERROR:PA0206] t_tri_various.v:146 Missing timeunit/timeprecision for "Test3".
[ERROR:PA0206] t_tri_various.v:154 Missing timeunit/timeprecision for "Test4".
[ERROR:PA0206] t_tri_various.v:159 Missing timeunit/timeprecision for "Test5".
[ERROR:PA0206] t_tri_various.v:167 Missing timeunit/timeprecision for "Test6".
[ERROR:PA0206] t_tri_various.v:173 Missing timeunit/timeprecision for "Test6a".
[ERROR:PA0206] t_tri_various.v:177 Missing timeunit/timeprecision for "Test7".
[ERROR:PA0206] t_type_param.v:15 Missing timeunit/timeprecision for "foo_wrapper".
[ERROR:PA0206] t_typedef_param.v:77 Missing timeunit/timeprecision for "TestNonAnsi".
[ERROR:PA0206] t_typedef_param.v:94 Missing timeunit/timeprecision for "TestAnsi".
[ERROR:PA0206] t_udp.v:115 Missing timeunit/timeprecision for "udp_latch".
[ERROR:PA0206] t_udp.v:126 Missing timeunit/timeprecision for "udp_dff".
[ERROR:PA0206] t_udp_noname.v:35 Missing timeunit/timeprecision for "udp".
[ERROR:PA0206] t_unoptflat_simple_3.v:41 Missing timeunit/timeprecision for "test1".
[ERROR:PA0206] t_unoptflat_simple_3.v:60 Missing timeunit/timeprecision for "test2".
[ERROR:PA0206] t_vams_wreal.v:87 Missing timeunit/timeprecision for "through".
[ERROR:PA0206] t_vams_wreal.v:93 Missing timeunit/timeprecision for "within_range".
[ERROR:PA0206] t_vams_wreal.v:106 Missing timeunit/timeprecision for "wreal_bus".
[ERROR:PA0206] t_vams_wreal.v:114 Missing timeunit/timeprecision for "first_level".
[ERROR:PA0206] t_vams_wreal.v:121 Missing timeunit/timeprecision for "second_level".
[ERROR:PA0206] t_var_dup_bad.v:46 Missing timeunit/timeprecision for "sub0".
[ERROR:PA0206] t_var_dup_bad.v:68 Missing timeunit/timeprecision for "sub3".
[ERROR:PA0206] t_var_in_assign.v:59 Missing timeunit/timeprecision for "z".
[ERROR:PA0206] t_var_notfound_bad.v:32 Missing timeunit/timeprecision for "subsub".
[ERROR:PA0206] t_var_overzero.v:33 Missing timeunit/timeprecision for "tsub".
[ERROR:PA0206] t_var_port_bad.v:11 Missing timeunit/timeprecision for "subok".
[ERROR:PA0206] t_vpi_var.v:87 Missing timeunit/timeprecision for "arr".
[ERROR:PA0206] t_xml_first.v:44 Missing timeunit/timeprecision for "mod2".
[ FATAL] : 0
[ ERROR] : 631
[WARNING] : 416
[ NOTE] : 4
********************************************
* End SURELOG SVerilog Compiler/Linter *
********************************************
191.83user 1.76system 3:16.65elapsed 98%CPU (0avgtext+0avgdata 1874196maxresident)k
8216inputs+8792outputs (0major+466113minor)pagefaults 0swaps