| One_line_comment=1 | |
| Block_comment=2 | |
| MODULE=3 | |
| ENDMODULE=4 | |
| INTERFACE=5 | |
| ENDINTERFACE=6 | |
| PROGRAM=7 | |
| ENDPROGRAM=8 | |
| PRIMITIVE=9 | |
| ENDPRIMITIVE=10 | |
| PACKAGE=11 | |
| ENDPACKAGE=12 | |
| CHECKER=13 | |
| ENDCHECKER=14 | |
| CONFIG=15 | |
| ENDCONFIG=16 | |
| String=17 | |
| Spaces=18 | |
| CR=19 | |
| ANY=20 | |
| 'module'=3 | |
| 'endmodule'=4 | |
| 'interface'=5 | |
| 'endinterface'=6 | |
| 'program'=7 | |
| 'endprogram'=8 | |
| 'primivite'=9 | |
| 'endprimitive'=10 | |
| 'package'=11 | |
| 'endpackage'=12 | |
| 'checker'=13 | |
| 'endchecker'=14 | |
| 'config'=15 | |
| 'endconfig'=16 |